CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - array class

搜索资源列表

  1. Calculatorcode

    0下载:
  2. Java程序计算器是:图形界面与数学计算相结合。图形界面的实现:考虑到按钮多而易混的问题,就选择了用数组来完成实现,在数字进行运算时,考虑的我们一般的计算器都是通过按按钮显示数字的,所以要有个类来获得按钮上的数字,由此可知还需要一个获得按钮上的运算符号,获得数字和运算符号上的按钮是放在链表里的,通过链表的节点不同来识别是数字还是运算符号,一般是第一个节点里存放的数字是第一个参加运算的数,第二个是放的运算符号,第三个是放的第二个参加运算的数,程序设计的优点是:条理清晰,容易理解,容易修改。这样设计
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:9325
    • 提供者:邓彭成
  1. HuWeiBianchengGuifanPeixunYulianxi

    0下载:
  2. 华为软件编程规范培训实例与练习 一、逻辑类代码问题 1、变量/指针在使用前就必须初始化 2、防止指针/数组操作越界... -Huawei software programming examples and exercises a standardized training, the logic class code problem 1, the variable/pointer must be initialized before use 2, to prevent the
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:352877
    • 提供者:newuserid
  1. java

    0下载:
  2. java基础的PPT,包含源代码,对有关类.输入输出.界面设计.数组应用等作了详细介绍-java-based PPT, contains the source code for the class. input and output. interface design. array of applications are described in detail
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:552251
    • 提供者:艾枫
  1. 3

    0下载:
  2. /编写一个程序,输入N个学生数据,包括学号、姓名、成绩,要求输出这些学生数据并计算平均分。 //要求: //设计一个学生类Stud,除了包括no(学号)、name(姓名)和deg(成绩)数据成员外,有两个静态变量 // sum和num,分别存放总分和人数,另有两个普通成员函数setdata()和disp(),分别用于给数据成 // 员赋值和输出数据成员的值,另有一个静态成员函数avg(),它用于计算平均分。在main()函数中 // 定义了一个对象数组用于存储输入的学生
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:872
    • 提供者:钟文
  1. vcPP

    0下载:
  2. 数组得类模板设计之:实现功能PushBack(Tt)向数组中追加元素。 -Class template array was designed: to achieve functional PushBack (Tt) added to the array elements.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3677
    • 提供者:丁辉
  1. fortran.rar

    0下载:
  2. Fortran矩阵 在FORTRAN 90中,陣列的使用方法有很大的改進,增加許多新的功能:然而我們上課所應用的g77沒有支援這些新功能,所以在本章中有關陣列的介紹仍以FORTRAN 77為根據,本章所介紹的方法在FORTRAN 90中仍然可以使用,Fortran matrix in FORTRAN 90, use of the array is a considerable improvement, adding many new features: class applications,
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:55766
    • 提供者:rocky
  1. cPP

    0下载:
  2. C++学习资料 包括C++动态数组 C++类模版和链表结构-C++ learning materials including C++ dynamic array C++ of class template and linked list structure
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:3521668
    • 提供者:KK
  1. Polynomial

    1下载:
  2. Develop class Polynomial. The internal representation of a Polynomial is an array of terms. Each term contains a coefficient and an exponent. The term 2x4 has the coefficient 2 and the exponent 4. Develop a complete class containing proper constr
  3. 所属分类:software engineering

    • 发布日期:2017-11-28
    • 文件大小:4668180
    • 提供者:howard
  1. C

    0下载:
  2. 1.1 C基础知识 1 1.2 函数与头文件 2 1.3 C预处理器 3 1.4 将一个字符转换为long型 4 1.5 strcpy函数 4 1.6 assert用法 5 1.7 itoa函数和atoi函数 6 1.8 strcmp函数实现 8 1.9 strcpy函数实现 9 1.10 memcpy函数实现 10 1.11 memcpy和memmove函数的实现 11 1.12 strcat函数实现 13 1.13 使用库函数atoi,将cha
  3. 所属分类:software engineering

    • 发布日期:2017-03-22
    • 文件大小:142846
    • 提供者:唐进
  1. ExtendsDemo

    0下载:
  2. * 继承关系 * 1.子类继承父类的属性和方法,父类的属性和方法被所有子类共享 * 2.子类多种多样,子类是多态的 * 业务案例:四格方块(Tetromino)有七种(I,J,L,S,Z,T,O) * 实现方式: * 利用继承关系实现,其中四格方块是父类,七种方块是 * 子类,在父类定义四格数组的属性,子类就自动继承了 * 四格属性。 * 定义四格方块类(Tetronimo),属性:4格子,方法:下落 * 子类型继承4格
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:1260
    • 提供者:Kevin
  1. ojbyhw

    0下载:
  2. 1.本文代码仅保证在visual studio 2005上运行通过! 2.高亮的题目为华为机试第三题左右难度。 3.本文的字符串基本运用C++里的string类,很少使用常规字符数组形式。 华为华东地区笔试/机试必备考题库。 -1. This code is only guaranteed in visual studio 2005 run through! 2. Highlight entitled Huawei third question about the diffi
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:47503
    • 提供者:s
  1. C_Sharp_-Part-2---Class-Dan-Array

    0下载:
  2. learning Array on C#
  3. 所属分类:software engineering

    • 发布日期:2017-12-13
    • 文件大小:206888
    • 提供者:harry
  1. wygey

    0下载:
  2. 通过虚拟阵元进行DOA估计,包含优化类的几个简单示例程序,部分实现了追踪测速迭代松弛算法。- Conducted through virtual array DOA estimation, Optimization class contains several simple sample programs, Partially achieved tracking speed iterative relaxation algorithm.
  3. 所属分类:software engineering

    • 发布日期:2017-12-18
    • 文件大小:4096
    • 提供者:赵士胜
  1. jvfbt

    0下载:
  2. 汽车课设货车Matlab驱动力图程序,本程序的性能已经达到较高水平,相控阵天线的方向图(切比雪夫加权)。- Car class-based truck driver trying to Matlab program, The performance of the program has reached a high level, Phased array antenna pattern (Chebyshev weights).
  3. 所属分类:software engineering

    • 发布日期:2017-12-20
    • 文件大小:3072
    • 提供者:王丹
  1. kfcyp

    0下载:
  2. 包含优化类的几个简单示例程序,实现了对10个数字音的识别,阵列信号处理的高分辨率估计。- Optimization class contains several simple sample programs, To achieve the recognition of 10 digital sound, High-resolution array signal processing estimates.
  3. 所属分类:software engineering

    • 发布日期:2017-12-12
    • 文件大小:3776
    • 提供者:张帅华
搜珍网 www.dssz.com