CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 其它资源 搜索资源 - 模型

搜索资源列表

  1. 两电平逆变器仿真

    0下载:
  2. 对两电平逆变器进行仿真,分析其模型,分析其波形的变化情况,
  3. 所属分类:其它程序

  1. “创”字3D模型

    0下载:
  2. 高1cm 长64mm 宽80mm 字体为方形
  3. 所属分类:其它程序

  1. abaqus用于计算钢筋三折线模型子程序

    4下载:
  2. 基于abaqus,可用于计算钢筋混凝土的带有下降段的滞回关系曲线
  3. 所属分类:其它程序

  1. 模糊控制

    0下载:
  2. 基于MATLAB的模糊PI控制模型,与传统的PI控制相比具有优越的性能
  3. 所属分类:其它程序

  1. leap软件

    3下载:
  2. LEAP包含两部分:LEAP_EnclosureShop(箱体仿真设计)和LEAP_CrossoverShop(分频器仿真设计)。其中 LEAP_EnclosureShop 是本人比较推崇的音箱箱体仿真设计软件, LEAP_CrossoverShop 由于其操作的复杂性,使用并不广泛(没有FINE X-Over好用)。 LEAP是美国Linearx公司开发的音箱设计系统,借助现代计算机科技的发展,分频网络的设计工作可以在计算机上完成,音箱设计仿真软件测量完的喇叭数据传送到音箱设计仿真软件做箱体
  3. 所属分类:其它程序

  1. 基于模糊PID的永磁同步电机调速系统

    3下载:
  2. 根据永磁同步电机坐标变换的数学模型,采用矢量控制策略和电流环和转速环的双闭环控制方案,以电压空间矢量脉宽调制(SVPWM)为调制算法,设计交流调速系统模型。为了有效提高永磁同步电机 PID 调速控制系统的性能,加入了模糊自适应转速控制器。 利用 MATLAB/simulink 软件建立了基于SVPWM的永磁同步电机模糊逻辑调速系统仿真模型,对电流环和转速环分别采用 PID 控制、模糊自适应PID 控制的 PMSM 系统进行了仿真,仿真结果验证了模型的正确性和控制算法的有效性。
  3. 所属分类:其它程序

  1. 现代通信系统

    0下载:
  2. 基于状态空间方程的模型预测控制代码,基于MATLAB/Simulink仿真软件进行学习。
  3. 所属分类:其它程序

  1. 现代通信系统

    0下载:
  2. 基于MATLAB/Simulink仿真案例:Wifi的案例分析,有源代码与Simulink模型
  3. 所属分类:程序开发库

  1. 魔兽世界高精度贴图模型

    1下载:
  2. 《魔兽世界》(WorldofWarcraft)是由著名游戏公司暴雪娱乐所制作的第一款网络游戏,属于大型多人在线角色扮演游戏...
  3. 所属分类:游戏

  1. 线性粘弹性本构UMAT

    1下载:
  2. 线性粘弹性本构模型UMAT子程序 源代码
  3. 所属分类:其它程序

  1. M-plus安装包

    0下载:
  2. 是M-plus 7的安装包,可用于进行处理数据和构建模型,只需要简单的语句模板就可以,简单易上手
  3. 所属分类:其它程序

  1. PMX转FBX工具

    1下载:
  2. MMDPMX转FBX工具,方便将模型数据和动作数据转成FBX
  3. 所属分类:其它程序

    • 发布日期:2021-11-10
    • 文件大小:36984534
    • 提供者:martow@163.com
  1. 海浪谱

    1下载:
  2. 建模海谱,自动生成海面模型的程序,输出三件面元格式
  3. 所属分类:程序开发库

  1. 智能套利系统【双币版】-EA

    1下载:
  2. 智能套利系统【双币版】-EA: 此EA为基于量化价格基差对冲套利理念,通过市场预期与内在运行规律的偏差之纠正的过程来达到投资获利的目的。套利交易已经成为国际金融市场中的一种主要交易手段,由于其收益稳定,风险相对较小 基差套利理念: 跨产品一多一空锁单对冲交易,多空同时建仓同时平仓,风险不大,基差逆向扩大时可设追加套利获利总平,稳健盈利,相关参数品种、下单手数、仓位风险率控制参数均可自主设定。 结合人工智能技术,根据量化大数据、数学价值回归算法、在EA货币套利策略基础上开发,盈
  3. 所属分类:其它程序

  1. 循环荷载下土体刚度衰减模型

    0下载:
  2. 使用场变量进行刚度衰减,能够实现随循环次数累积引起滞回曲线的刚度衰减变化,用于模拟单桩土体的循环特性研究
  3. 所属分类:其它程序

  1. ABAQUS-CAE

    0下载:
  2. ABAQUS-CAE手册,可自行斟酌下载,主要介绍软件概况以及一些本构模型的理论
  3. 所属分类:其它资源

« 1 2 3 4»
搜珍网 www.dssz.com