CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 传感器 vhdl

搜索资源列表

  1. AD9826.rar

    0下载:
  2. 图像采集传感器CCD专用的AD转换器-AD9826驱动程序,VHDL语言。,CCD image acquisition sensor dedicated AD converter-AD9826 driver, VHDL language.
  3. 所属分类:Special Effects

    • 发布日期:2017-03-28
    • 文件大小:1232
    • 提供者:李云
  1. AD9826

    0下载:
  2. 用VHDL语言编的视频AD传感器AD9826的驱动程序-the driver of AD9826
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3087
    • 提供者:Light Reed
  1. DE0_NANO_GSensor

    1下载:
  2. 该代码利用DE0 nano上面的ADI ADXL345三轴重力传感器实现重力感应,根据偏转角度的不同点亮相应方向上面的LED灯,稍加修改,还能够将各个方向上面的重力加速度值实时显示,希望大家喜欢-The code used DE0 nano gravity above the ADI ADXL345 three-axis accelerometer sensors to achieve according to the deflection angle of light in different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:45368
    • 提供者:王国庆
  1. traffic_light

    0下载:
  2. 推荐题目要求:1.主、支路无车时主干路“绿”;    2.若主、支路均有车时,主干路绿45S,支路绿25S,绿灯结束时黄灯亮5S;    3.主、支路传感器信号用按键传递,高电平表示有车。-Recommended subject requirements: 1. Lord, slip-free car trunk road green 2. If the Lord, slip both cars, Green Trunk Road 45S, slip Green
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:2040
    • 提供者:阿飞
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. mipi_phy

    1下载:
  2. mipi传输,用于新型图像传感器的传输问题-MIPI transmission, new image sensors for the transmission problem
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-13
    • 文件大小:1242
    • 提供者:Mark
  1. CCD_DRIVER

    0下载:
  2. verilog HDL语言,线性CCD1501D驱动程序,基于FPGA,其他线性传感器可参照修改。-verilog HDL language, linear CCD1501D driver, based on the FPGA, the other linear sensor can be modified by reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:293387
    • 提供者:liuyuetian
  1. IIC_AD75

    0下载:
  2. I2C温度传感器ADT75的控制源码 使用verilog 状态机实现 易入门-I2C for ADT75 temperature sensor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2602
    • 提供者:王欢
  1. TC221FPGA

    0下载:
  2. TCC221图象传感器和FPGA实现图象采集 开发环境是quartus-TCC221 camera and FPGA to get a picture
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-03
    • 文件大小:1397465
    • 提供者:鷬药石
  1. DS18b20

    0下载:
  2. 这是一个工业用的普通温度传感器DS18b20的VHDL文件,直接可用,可为FPGA的其他逻辑模块或者Nios提供接口,其输出为18b20的11位温度暂存器的值。-This is a common logic module for DS18b20 which can provides parallel outputs for Nios II or other internal units of FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2546
    • 提供者:刘伟
  1. Fingerprint_Identify

    3下载:
  2. 本项目名称是:基于FPGA的指纹识别模块设计。 主要内容为:本模块采用xilinx公司的Spartan 3E系列XC3S500E 型FPGA作为核心控制芯片,通过富士通公司的MFS300滑动式电容指纹传感器对指纹图象进行提取,然后对提取的指纹图像进行灰度滤波、图像增强、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点,存入外部FLASH作为建档模板。指纹比对时,采用同样的方法获得清晰的指纹图像,建立比对模板,然后将比对模板与建档模板利用点模式匹配
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:191002
    • 提供者:xiaoxu
  1. vidiocpt

    0下载:
  2. 本代码为富士通MV86S02的CMOS图像传感器的VHDL驱动代码-The code for Fujitsu MV86S02 the CMOS image sensor-driven VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:138787
    • 提供者:王志杰
  1. sensortest

    0下载:
  2. 光线传感器测量 LED会显示环境光的大小,用手挡住光线 传感器,LED的显示值会相应减小。-Light sensors measure the ambient light LED will show the size, hands blocking the light sensor, LED display the value of a corresponding decrease.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:191378
    • 提供者:panda
  1. 61EDA_D994

    0下载:
  2. 基于FPGA的 温度传感器 DS18B20接口设计-FPGA DS18B20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3153
    • 提供者:碧雪情空
  1. ds18b20

    0下载:
  2. 改程序实现了对dalas的1-wire器件温度传感器ds18b20(也可以是ds1825)的驱动,并将温度值显示在液晶屏幕1602上-This program is dedicated to driving the 1-wire device ds18b20(ds1825),which reads the temperature and displays it on the LHD1602
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:4068571
    • 提供者:mn
  1. sc_camera_01APR08

    1下载:
  2. 基于FPGA的CMOS 传感器的图像传输处理.整个设计还基于NIOS.-FPGA-based CMOS sensor Image Transmission. The design is also based on NIOS.
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-25
    • 文件大小:1881382
    • 提供者:陈炬
  1. SCA126T-100130

    0下载:
  2. SCA126T 是瑞芬科技针对工业现场控制领域推出串口输出型双轴倾角传感器,内置高精 度16bit A/D 差分转换器,通过5 阶滤波滤波算法,最终输出双方向的倾角值。 接口可选RS485;RS232 或TTL 电平。由于内置了ADI 公司的高精度数字温感器,所以 输出角度在工作温度范围内都得到了再次修正,保证产品在低温与高置环境下的高重复性。 高的输出速度能达到20 次/秒。产品属于真正工业级产品,性能可靠稳定,扩展性好, 多种输出可供选择。适合应用于各种恶劣工业控制环境-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1287333
    • 提供者:武钢
  1. dianzichen

    0下载:
  2. 应用VHDL语言编写的电子秤程序,并且使用压力传感器-Applications written in VHDL, electronic scale program, and use the pressure sensor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2317
    • 提供者:林泽宇
  1. 简单智能车VHDL实现

    0下载:
  2. FPGA实现智能车利用红外传感器使小车沿预定轨道平稳行驶,数码管显示障碍物与小车的距离,距离低于某值则停止运行
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-28
    • 文件大小:1015851
    • 提供者:361yjs
  1. dth22

    1下载:
  2. dht22湿度温度传感器FPGA采集温湿度(Dht22 humidity and temperature sensor FPGA to collect temperature and humidity)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:337920
    • 提供者:咻咻,
« 12 3 »
搜珍网 www.dssz.com