CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列发生器

搜索资源列表

  1. vhdl

    4下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1054
    • 提供者:文成
  1. 单片机M序列发生器

    5下载:
  2. 基于51单片机实现的M序列发生器(伪随机序列),在Keil编程环境下的源码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-01-25
    • 文件大小:2554
    • 提供者:John
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. LFSRRC4

    0下载:
  2. 伪随机数发生器LFSR RC4加密与解密 根据算法原理,首先初始化S-BOX,产生伪随机序列密钥流,选择所加密文件与密钥流异或生成密文 -Pseudo-random number generator LFSR RC4 algorithm for encryption and decryption based on the principle, first initialize the S-BOX, pseudo-random sequence generated key stream,
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1942687
    • 提供者:王风
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. CPLD-radom

    0下载:
  2. 基于C P L D 的伪随机序列发生器,用FPGA产生随机序列的-CPLD-based pseudo-random sequence generator, generate random sequences using FPGA
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:248618
    • 提供者:jackk
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. m_vhdl

    0下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。-Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial valu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:660
    • 提供者:haodiangei
  1. random

    0下载:
  2. 产生符合一定分布的随机数的方法是,先产生(0,1)均匀分布的随机数,然后通过一个适当的变换方法得到所要求分布的随机数。常用的均匀分布伪随机序列发生器有线性同余发生器和线性反馈移位寄存器两种。-That meet certain distribution of the random number is first generated (0,1) uniformly distributed random number, then an appropriate transformation metho
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:709
    • 提供者:wswfx
  1. randHe

    0下载:
  2. 基于Henon映射的混沌伪随机序列发生器,用MATLAB7.1实现-Henon mapping based on Chaotic Pseudo-random sequence generator, to achieve with MATLAB7.1
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:700
    • 提供者:谭德
  1. VHDL-source-code

    1下载:
  2. 一些有用的VHDL代码 包括伪随机序列发生器等-VHDL code, including some useful pseudo-random sequence generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:44839
    • 提供者:yfgf
  1. sequential-detactor

    0下载:
  2. 本次例程包括七阶伪随机序列发生器、序列码检测器,奇偶校验器、CRC(循环冗余)校验器,并附有FPGA的代码和仿真。-The routines including seven order pseudo-random sequence generator, sequence yards detector, parity validator, CRC (cyclic redundancy) validator, and with FPGA code and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:268515
    • 提供者:明晓昕
  1. pseudo-random-sequence-generator-

    0下载:
  2. 利用FPGA编程--- -实现“伪随机序列发生器设计”-FPGA programming------- pseudo-random sequence generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:317564
    • 提供者:初昀
  1. seq_gen

    0下载:
  2. matlab编写的伪随机序列发生器,包括小m序列、大M序列,gold序列-pseudo-random sequence generator matlab prepared, including a small m sequence, M sequences, gold sequences
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1630
    • 提供者:wangxin
  1. 函数发生器和基于单片机的伪随机序列

    0下载:
  2. 基于单片机的用C语言实现的函数发生器和基于单片机的伪随机发生器,产生m序列的,也是用C实现的。
  3. 所属分类:C#编程

  1. 18472582m_sequence_3

    0下载:
  2. 基于lfsr的伪随机序列发生器,带有游程检测-Pseudo-random sequence generator based on lfsr with run detection
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-21
    • 文件大小:849
    • 提供者:张振鹏
  1. 伪随机序列

    0下载:
  2. 如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。(If a sequence is predefined on the one hand, it can be produced and replicated repeatedly; on the one hand, it has a random sequence of random properties (i.e. statistical p
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:2048
    • 提供者:huluxldf
  1. vhdl 伪随机序列发生器

    2下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X3+X7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)
  3. 所属分类:VHDL编程

  1. m序列发生器

    0下载:
  2. m序列的仿真产生文件,用于产生伪随机序列。
  3. 所属分类:仿真建模

« 12 3 »
搜珍网 www.dssz.com