CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频 VHDL

搜索资源列表

  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware circuit design clock signal i
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5460
    • 提供者:王力
  1. 占空比1:1的通用分频模块 

    0下载:
  2. 用vhdl实现占空比1:1的通用分频模块,非常实用,欢迎大家下载-use VHDL to achieve the common 1:1-frequency module, a very practical and you are welcome to download
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:805
    • 提供者:kiki
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. 5分频、移相VHDL程序

    0下载:
  2. 有两端VHDL程序,5分频的和分频移相的,希望大家用的上
  3. 所属分类:VHDL编程

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. CPLD任意分频输出 VHDL

    0下载:
  2. CPLD任意分频输出 VHDL,调试通过
  3. 所属分类:VHDL编程

  1. 分频器VHDL代码

    0下载:
  2. 用VHDL硬件描述语言实现分频,修改代码中的参数即可实现
  3. 所属分类:源码下载

    • 发布日期:2010-12-28
    • 文件大小:11708
    • 提供者:tiger573
  1. 分频器VHDL语言讲解.doc

    0下载:
  2. 分频器VHDL语言讲解
  3. 所属分类:文档资料

  1. 任意分频代码(VHDL)

    0下载:
  2. 任意分频代码(VHDL)
  3. 所属分类:系统编程

  1. 半整数分频器的实现(verilog)

    0下载:
  2. 半整数分频器的实现(verilog),本文以6.5分频为例!很实用的!,fen pin qi
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:68966
    • 提供者:吕双
  1. any_div_freq

    0下载:
  2. 可以对输入时钟任意分频(整数或小数),带Quartus II 完整项目文件.-Can be arbitrary points on the input clock frequency (integer or decimal), with complete Quartus II project document.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:246360
    • 提供者:拉灯
  1. VHDL

    0下载:
  2. 一个实现整数分频的VHDL代码,只要把n设置成你所需要的分频的数值就行-A realization of an integer divider of the VHDL code, as long as the n set you need the sub-frequency values on the line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:996
    • 提供者:褚如龙
  1. clock_divider

    0下载:
  2. 任意小数分频器产生原理,及详细说明文档,任意数分频(包括奇偶数和小数)的设计方法(含VHDL例子)-Generate arbitrary decimal divider principle, and detailed descr iption of the document, arbitrary number of sub-frequency (including the odd-even numbers and decimals) design methods (including VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:23475
    • 提供者:xiang
  1. vhdl-devider

    0下载:
  2. 基于vhdl的分频器设计,分频器在数字系统设计中应用频繁-VHDL-based design of the divider, divider in the digital system design applications frequently
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1133
    • 提供者:tony
  1. time_div

    0下载:
  2. IP 分频器 可以通过输入参数而自动调整分频数-IP divider input parameters can be automatically adjusted at the frequency
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:672
    • 提供者:Jerry
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: frequency output that: D [7 .. 0] as
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:603
    • 提供者:张娟
  1. ghzfchsa

    0下载:
  2. 数控分频器,可实现50m以内任意整数分频-NC divider can be realized within 50m of arbitrary integer frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:164885
    • 提供者:张志刚
  1. VHDL

    0下载:
  2. 用VHDL写的代码,实现任意整数分频,自己只要修改分频参数即可。希望对大家有用-Written in VHDL code used to achieve arbitrary integer frequency, their frequency as long as the modified parameter. We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:721
    • 提供者:tianson
  1. vhdl分频器设计

    0下载:
  2. vhdl分频器设计,用quartus软件偏写,可进行时钟的分频。(Design of VHDL frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:279552
    • 提供者:YXT800
  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21229568
    • 提供者:Maggie0104
« 12 3 4 5 6 7 8 9 10 ... 27 »
搜珍网 www.dssz.com