CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 周期测频

搜索资源列表

  1. Digital

    0下载:
  2. 数字频率计数器又称通用计数器,是电子测量领域中最常见的测量仪器之一。它可以测量正弦波的频率(周期),脉冲波的频率(周期),脉冲宽度等时间参数。在通信,电子等领域中有广泛的应用。本文对传统的测频方法中存 在的精度低的问题进行了分析:数字频率计数器在测量高频信号时能够达到足够高的测量精度,但在测低频信号时,其测量结果的有效位数将会减少,精度也会相应的降低。在此基础上提出了一种基于单片机的等精度测频率,测周期,测脉冲宽度的实现方法。介绍了单片机的内部计数器与程序的运算功能进行等精度测量方法的实现。给出
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:21406
    • 提供者:高文斌
  1. work5FREQTEST

    0下载:
  2. 8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244660
    • 提供者:lkiwood
  1. ff

    0下载:
  2. 数字频率计的原理和实现,测频率和周期及减小测量误差的方法-Digital frequency meter and the realization of the principle, test cycles and reduce the frequency and method of measurement error
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:340915
    • 提供者:方小飞
  1. lunwen

    0下载:
  2. 毕业论文对于频率的测量,常用的方法有直接测频法和测周法.直接测频法是通过测量标准闸门时间内待测信号的脉冲数而计算出待测信号频率的,由于闸门时间通常不是待测信号周期的整数倍,因此存在最大±1的待测信号脉冲误差,只能在信号频率较高时采用 测周法是通过测量待测信号的周期并求其倒数而求得其频率的,在待测信号的一个周期内也存在最大±1的标准信号脉冲误差,只能在信号频率较低时采用.这两种频率测量方法都存在局限性,并难以实现宽频带、高精度测量-Thesis for the frequency of measu
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:529499
    • 提供者:杨文昌
  1. caiyang

    0下载:
  2. 基于VHDL的等精度测频方法,等精度测频方法是在直接测频的基础上,其闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步的测频方法。-VHDL-based method of equal precision frequency measurement, and other precision frequency measurement method is based on the direct frequency measurement, the gate time is not a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5356958
    • 提供者:tong
  1. 2010011022

    0下载:
  2. 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:611328
    • 提供者:程琳
  1. ll

    0下载:
  2. 电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了用数字电路设计了一个简单的数字频率计的过程。-Electronic counter measuring frequency in two ways: one is the direct frequency measurement method, that is, in a certain gate ti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:439224
    • 提供者:媛媛
  1. Based-on-SPCE061A-counter

    0下载:
  2. 计数器基于凌阳SPCE061A微处理器设计,对高频信号采用测频法,提高精度,对低频信号采用测周法,可最大限度减小误差。能够接收函数信号发生器产生的信号,实现周期测量和时间间隔测量。可记忆10个测量的历史数据,实现语音报数功能且能够显示温度等多种功能。本系统经实验调试,较好地实现了预定的功能,由于采用了凌阳单片机控制,系统可靠性和性价比较高。- The designed counter adopts Sunplus SPCE061A MCU,uses frequency measurement f
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:54138
    • 提供者:kangxinlong
  1. measure

    0下载:
  2. 基于msp430的测频测幅程序 可测量方波的周期 频率 占空比-Based on msp430 measured frequency measured amplitude square wave can be measured cycle frequency duty cycle
  3. 所属分类:SCM

    • 发布日期:2017-11-12
    • 文件大小:95247
    • 提供者:
  1. cpld_now

    0下载:
  2. 多周期同步法测频程序,晶振为40MHz,测量15-30K之间的频率,100Hz的输出频率,-Multi-cycle synchronization method of frequency measurement procedures, crystal 40MHz measurement frequency between 15-30K, the output frequency of 100 Hz,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:482922
    • 提供者:石然
  1. frequency_counter44

    0下载:
  2. 采用多周期测量原理,即用标准频率信号填充整数个周期的被测信号,从而消除了被测信 号+1的计数误差,其测量精度仅与门控时间和标准频率有关,克服传统的直接测频或者直 接测周法均不能全面满足高精度要求的缺陷-Using the principle of multi-cycle measurements, i.e. integer number of cycles of the measured signal is filled with a standard frequency signa
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:548011
    • 提供者:李密
  1. FrequencyIndicator

    1下载:
  2. 本代码是基于IAR的MSP430的频率计设计,MSP430内部定时器TIMEA采用捕获周期计数法测频,测频范围1HZ~32K,精度为1HZ,并采用12864显示-The code is based on the MSP430 IAR frequency meter design, MSP430 internal timer TIMEA capture cycle counting method using frequency measurement, frequency measurement
  3. 所属分类:Other Embeded program

    • 发布日期:2015-01-23
    • 文件大小:9216
    • 提供者:李胜
  1. MyFrequencyDesign

    0下载:
  2. 基于单片机msp430和cpld的高精度频率计。测频范围为0至20MHz。误差在万分之一。可以测量0至100KHz周期,脉宽。-Msp430 microcontroller-based and cpld precision frequency meter. Frequency measurement range of 0 to 20MHz. Error in a million. Can measure 0 to 100KHz cycle, pulse width.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:869964
    • 提供者:王志瑞
  1. src

    0下载:
  2. 基于Xilinx FPGA的数字频率计,包括测频测周期测脉宽测占空比等-Xilinx FPGA-based digital frequency meter, including frequency measurement measuring duty cycle pulse testing, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:13177
    • 提供者:黄伟
  1. 直扩MSK

    1下载:
  2. 直扩MSK通信链路的仿真程序。PN码采用m序列,周期可自行改动。接收端解扩解调同时进行。亲测可用。(Simulation program of DSSS MSK communication link. PN code uses m sequence, the cycle can be changed by itself. Simultaneous demodulation and demodulation are carried out at the receiver. Pro test ava
  3. 所属分类:matlab例程

  1. ccd

    0下载:
  2. 简易数字频率计,实现建议的数字频率计功能,测频,测周期,测相位,并在LCD上显示出来。(Simple digital frequency meter, to achieve the proposed digital cymometer function, frequency measurement, cycle measurement, phase measurement, and displayed on the LCD.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:21507072
    • 提供者:XIAO小文
  1. 测量频率

    0下载:
  2. 功能:测量两路输入的频率,并在液晶上显示。 有两种方法测频率,一种是周期测频法,一种是频率计数法: 周期测频法要求有参考时钟,通过对一个或者几个周期信号内参考时钟的计数来测量; 频率计数法就是单位时间内测出被测信号的改变次数,从而得到频率。 第一种方法的精度与参考时钟的速度有关,速度越快精度越高,第二种的精度跟所取的单位时间有关,时间越长,精度越高。所以前者适合测低频,后者适合测高频。 所以要想测量准确就得看你所测信号的频率范围了。 你也可以结合这两者的优势,实现互补。
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:17692672
    • 提供者:Suns@asd
  1. kese0

    0下载:
  2. 频率计等精度测量测频测周期测相位 运用Verilog语句 在FPGA(asdsssfdfsdffjtfjtjrtrt)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-03
    • 文件大小:13240320
    • 提供者:Cupids
  1. 直接测周法

    0下载:
  2. 采用直接测周期法测量信号频率,最小可测到10HZ,平台为STM32F103(measurement signal ;direct;frequency)
  3. 所属分类:其他

    • 发布日期:2018-01-03
    • 文件大小:8912896
    • 提供者:凌98
  1. zhouqicp

    0下载:
  2. 通过测量脉冲的周期来测量频率,用于测量低频。(The frequency is measured by measuring the period of the pulse, which is used to measure the low frequency.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:小橙
« 12 »
搜珍网 www.dssz.com