CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 外部时钟测量频率

搜索资源列表

  1. RCL

    0下载:
  2. 本系统是通过凌阳的16位单片机SPCE061A测量电阻,电容和电感对应振荡电路 所产生的频率实现对各个参数的测量,一方面测量精度较高,另一方面便于使仪表实现自动化,而且还能加入语音播报的功能使其更加智能化。 其中电阻和电容采用555多谐振荡电路产生的,而电感则是根据电容三点式产生的,SPCE061A的定时器可以利用外部时钟来记数,这里我们将RCL的测量电路产生的频率做为单片机SPCE061A的时钟源,通过记数则可以计算出被测频率,在通过该频率计算出各个参数。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:972038
    • 提供者:夏盛元
  1. cs1150_chinese

    0下载:
  2. CS1150中文用户手册:CS1150是低功耗模数转换芯片。有效分辨率17位,输出24位 数据。工作电压2.7V~5.5V、集成50Hz、60Hz陷波、128倍增益放大器、参考电压为 0.1V~5V、集成SPI接口。可以广泛使用在工业控制、量重、液体/气体化学分析、 血液分析、智能发送器、便携测量仪器领域。 目 录: 1 CS1150功能说明. 1.1 CS1150主要功能特性. 1.2 应用场合. 1.3 功能描述. 2 芯片绝对最大极
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:279092
    • 提供者:周桂永
  1. AVR

    1下载:
  2. AVR单片机 实验教学指导书 实验一 实训装置的认识与软件使用 实验二 彩灯控制 实验三 键控加减计数 实验四 外部中断的使用 实验五 数码管动态扫描显示 实验六 实时时钟显示 实验七 高频脉冲频率的测量 实验八 低频脉冲频率的测量 实验九 脉宽调制的实验 实验十 显示驱动器7219的使用 实验十一 7219驱动8位8段数码管的时钟显示 实验十二 8×8点阵字符显示控制器的使用 实验十三 异步通信实验 实验十四 多路模拟数据采集与显
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:864737
    • 提供者:廖云
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. competition.rar

    0下载:
  2. 本系统是通过凌阳的16位单片机SPCE061A测量电阻、电容和电感对应振荡电路 所产生的频率实现各个参数的测量,一方面测量精度较高,另一方面便于使仪表实现自动化,而且还能加入语音播报的功能使其更加智能化。 其中电阻和电容是采用555多谐振荡电路产生的,而电感则是根据电容三点式产生的。SPCE061A的定时器可以利用外部时钟源来计数,这里我们将RCL的测量电路产生的频率作为单片机SPCE061A的时钟源,通过计数则可以计算出被测频率在通过该频率计算出各个参数。 关键词: SPCE061A单片
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:532307
    • 提供者:feng
  1. pin-lv-ji

    0下载:
  2. 设计的是一个数字频率计,通过八个七段数码管显示频率值。系统时钟选择的50M的时钟,闸门时间为1s(通过对系统时钟进行分频得到),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2秒刷新一次。被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部通过系统的输入输出模块的输入端输入一个数字信号进行频率测量。当拨动开关为高电平时,测量从外部输入的数字信号,否则测量系统数字时钟信号模块的数字信号。(附详细PDF文档介
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:678100
    • 提供者:刘渝
  1. example6_TIM

    0下载:
  2. 本例展示了如何设置TIM,用它来测量一个外部输入信号的频率和占空比。 TIM2时钟设置为72MHz,预分频设置为0,TIM2计数器时钟可表达为: TIM2 counter clock = TIMxCLK / (Prescaler +1) = 72 MHz,因此可测量的最小频率值为1100Hz。 设置TIM2工作在PWM输入模式(PWM Input Mode),把外部信号作为输入连接到TIM2通道2。 利用TIM2计数器中断来测量信号的频率和占空比。在TIM2_I
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:252946
    • 提供者:彭富林
  1. exp12

    1下载:
  2. 本实验要完成的任务就是设计一个频率计,系统时钟选择核心板上的50MHz的时钟,闸门时间为1s(通过对系统时钟进行分频得到),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2秒刷新一次。被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部通过系统的输入输出模块的输入端输入一个数字信号进行频率测量。当拨动开关为高电平时,测量系统数字时钟信号模块的数字信号,否则测量从外部输入的数字信号。-To complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1022237
    • 提供者:真三战魂
  1. 测量频率

    0下载:
  2. 功能:测量两路输入的频率,并在液晶上显示。 有两种方法测频率,一种是周期测频法,一种是频率计数法: 周期测频法要求有参考时钟,通过对一个或者几个周期信号内参考时钟的计数来测量; 频率计数法就是单位时间内测出被测信号的改变次数,从而得到频率。 第一种方法的精度与参考时钟的速度有关,速度越快精度越高,第二种的精度跟所取的单位时间有关,时间越长,精度越高。所以前者适合测低频,后者适合测高频。 所以要想测量准确就得看你所测信号的频率范围了。 你也可以结合这两者的优势,实现互补。
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:17692672
    • 提供者:Suns@asd
  1. C题

    0下载:
  2. 通过外部时钟的方式测量频率,精确上至20M。(The frequency is measured by external clock, up to 20M.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:12303360
    • 提供者:zeroling
搜珍网 www.dssz.com