CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 曼彻斯特编码

搜索资源列表

  1. 用cpld实现曼彻斯特编码2

    0下载:
  2. 此曼彻斯特码的解码程序是采用VHDL硬件语言编写的。-this procedure code decoder VHDL hardware is used to prepare the language.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3542
    • 提供者:游畅
  1. 用cpld实现曼彻斯特编码

    0下载:
  2. 用cpld实现曼彻斯特编码 用verilog HDL进行曼彻斯特编码,用于通信中-cpld achieve with Manchester encoding with Verilog HDL Manchester encoding. for Communication
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4579
    • 提供者:李鹏
  1. 125K曼彻斯特编码的只读ID卡读头程序

    0下载:
  2. 125K曼彻斯特编码的只读ID卡读头程序,Radio Freqency Identification 125K
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-23
    • 文件大小:45128
    • 提供者:lijifeng
  1. Manchester

    2下载:
  2. 使用C语言编写的曼彻斯特编码和解码功能函数-Written in C language with Manchester encoding and decoding functions
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-05
    • 文件大小:1365
    • 提供者:firebire
  1. 47651501MillerCode

    1下载:
  2. 曼彻斯特编码的MATLAB实现,做了好久的,大家一看就能明白-Manchester encoding MATLAB implementation, so a long time, and we can see a glance
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2219
    • 提供者:罗文俊
  1. mcst

    0下载:
  2. 曼彻斯特编码实现,verilog HDL 做的,我也是从网上下的-Manchester encoding to achieve, verilog HDL to do, I am also from the Internet under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1364
    • 提供者:yy
  1. graphing

    0下载:
  2. 1100011001的非归零码、曼彻斯特编码、差分曼彻斯特编码、调幅(ASK)、调频(FSK)和调相(PSK)的编码波形示意图-1100011001 of the NRZ, Manchester encoding, differential Manchester encoding, AM (ASK), frequency modulation (FSK) and phase modulation (PSK) waveform diagram of the coding
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:614
    • 提供者:刘骁明
  1. manchester_code

    0下载:
  2. 曼彻斯特编码函数.将输入的字节转换为曼彻斯特编码输出.-Manchester encoding function. Would be bytes of input into Manchester encoded output.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:599
    • 提供者:phoenix
  1. man

    1下载:
  2. 基于曼彻斯特编码的论文,适用于无线通信的编码方法-Paper-based Manchester encoding for the coding method for wireless communication
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:244425
    • 提供者:cckk
  1. Manchester

    9下载:
  2. 用matlab实现的曼彻斯特编码。50 占空比(可调)。绘制时域波形和频域功率谱密度。结果跟北邮的郭文斌的通信原理课件上的形状一模一样。-Manchester encoding with a matlab implementation. 50 duty cycle (adjustable). Draw time-domain waveform and frequency domain power spectral density. The results with the Beijing Un
  3. 所属分类:matlab例程

    • 发布日期:2017-05-11
    • 文件大小:1713
    • 提供者:李刚
  1. daima

    0下载:
  2. 适用于xilinx的CPLD产品,曼彻斯特编码-Decoder for Xilinx CPLDs Customer Pack
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:9862
    • 提供者:时国美
  1. md

    0下载:
  2. 曼彻斯特编码源代码 基于VHDL语言的曼彻斯特编码程序-manchester encode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:935
    • 提供者:hyf
  1. manchester-code

    0下载:
  2. 曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致-Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hopping happen. High → low hopping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:90811
    • 提供者:魏伟
  1. aaaa

    1下载:
  2. 曼彻斯特编码的接收这几天没事弄了一个曼彻斯特编码方式的接收程序,给大家分享-Manchester encoding receiver these days nothing to get a Manchester encoding of the receiving program for everyone to share
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3974
    • 提供者:刘志杰
  1. mancodec

    0下载:
  2. 曼彻斯特编码器与译码器 FPGA嵌入式项目开发-mancodec fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:186329
    • 提供者:asd
  1. manch

    0下载:
  2. 该文件是一个完整的工程文件,用VerilogHDL语言编写,包括曼彻斯特编码器的设计文件和仿真测试文件以及解码器的设计文件和仿真测试文件。在Modelsim中仿真测试通过。-The document is a complete project file, with VerilogHDL languages, including the Manchester encoder design documents and simulation test files and decoder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:122597
    • 提供者:dayu1994
  1. mcsdte

    0下载:
  2. FPGA嵌入式项目实战,曼彻斯特编码器与译码器-FPGA embedded project combat, Manchester encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:186760
    • 提供者:lan tian
  1. manchester

    0下载:
  2. 源码包含三个模块,数据发送模块是读取FIFO中的数据后,将并行数据转换为串行,同时对串行数据进行曼彻斯特编码输出。数据接收模块是对接收的数据进行曼彻斯特解码。FIFO控制器模块将接收的串行数据转换为并行,并存储。 曼彻斯特解码部分本文采用了过采样技术,使用了一个8倍时钟进行采样。每一个数据周期采样8次,每四次采样确定一个状态,如果采样到三次及以上高电平则认为是高状态,否则认为是低状态。状态由高到底则是数据0,由低到高则是状态1。-Source consists of three module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4086
    • 提供者:陈建
  1. 曼彻斯特编码

    1下载:
  2. Manchester2 用matlab实现的曼彻斯特编码
  3. 所属分类:matlab例程

    • 发布日期:2016-06-15
    • 文件大小:426
    • 提供者:abcdefg5801
  1. m_manche

    0下载:
  2. 有关于M序列的曼彻斯特编码,亲自验证有效。(The Manchester code of the M sequence is personally validated.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:3098624
    • 提供者:紫芩
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com