CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号发生器

搜索资源列表

  1. 受控正弦信号发生器

    0下载:
  2. 2010年四川省电子设计竞赛 三等奖作品MSP430源程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-09-14
    • 文件大小:47507
    • 提供者:duliuben
  1. 数模电函数信号发生器

    1下载:
  2. 本设计是基于数模电的函数信号发生器,可生成正弦波,方波,三角波 输出信号的频率范围为100~1000Hz,步进为100Hz。
  3. 所属分类:其它文档

    • 发布日期:2011-04-11
    • 文件大小:247511
    • 提供者:xhpjay
  1. 基于51单片机制作的函数信号发生器

    7下载:
  2. 基于51单片机制作的函数信号发生器,用DA0832和运算放大器来输出,单片机产生信号,用proteus仿真了(内含),能够产生正弦波,方波,锯齿波,三角波的波形。,51 single-chip microcomputer-based production function signal generator, used to DA0832 and the op-amp output, single-chip signal, proteus simulation with the (implicit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-10-23
    • 文件大小:62838
    • 提供者:ou
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. shejibaogao

    1下载:
  2. 正弦信号发生器电子设计报告,包括部分重要源代码!-Electronic design, including some important source code!
  3. 所属分类:SCM

    • 发布日期:2014-09-13
    • 文件大小:705573
    • 提供者:矫龙飞
  1. 基于FPGA的正弦信号发生器

    1下载:
  2. FPGA资料,正弦信号发生器,用verilog语言写的,内容详实
  3. 所属分类:文档资料

    • 发布日期:2012-10-19
    • 文件大小:1103360
    • 提供者:rex22rex
  1. sine_signal_generator

    0下载:
  2. 基于快速51单片机—STC12C5A60S2的正弦信号发生器,展示了快速51单片机的强大功能。-51 SCM-STC12C5A60S2 based on fast sine signal generator, showing a rapid 51 MCU power.
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:50188
    • 提供者:wzl
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
  1. 1-1st-Chapter3

    0下载:
  2. 正弦信号发生器,正弦波输出范围:1k~10M。频率步进100Hz,用示波器观察无明显失真。-Sinusoidal signal generator, sine wave output range: 1k ~ 10M. Stepped-Frequency 100Hz, with no significant distortion oscilloscope observation.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:28219
    • 提供者:张堃
  1. Based

    0下载:
  2. 基于DDS技术的正弦信号发生器的设计pdf版格式-Based on DDS technology, the design of sinusoidal signal generator
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:142026
    • 提供者:ssss
  1. sin

    0下载:
  2. 正弦信号发生器源文件实现正弦信号发生器,非常有用,欢迎下载。-Sinusoidal signal generator source file achieve sinusoidal signal generator, very useful and welcome to download.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-07
    • 文件大小:640
    • 提供者:lee
  1. MySin

    0下载:
  2. 通过simulink建模实现不同正弦信号的产生,此模型可作为正弦信号发生器。-Simulink modeling through the implementation of different sinusoidal signal generation, this model could serve as a sinusoidal signal generator.
  3. 所属分类:matlab

    • 发布日期:2017-04-23
    • 文件大小:18429
    • 提供者:薛俊晓
  1. zhengxuanxinhao

    0下载:
  2. 这是一个正弦信号发生器得总程序,是用51单片机实现的,控制ad9852,-This is a sinusoidal signal generator was the total program, is 51 single-chip microcomputer, the control of ad9852,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-11-10
    • 文件大小:52295
    • 提供者:jiangbo
  1. DDS

    0下载:
  2. 这是一个任意频率的正弦信号发生器,具有可改变输出信号频率,输出信号相位,任意转换输出信号类型(正弦、余弦、锯齿波、方波),屏幕可分别显示用户设定的信号频率与输出信号检测频率。-This is an arbitrary frequency sinusoidal signal generator, with can change the output signal frequency, the output signal phase, arbitrary conversion output sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1987774
    • 提供者:紫郢寒光
  1. zhenxianxinhao

    0下载:
  2. 此文件是正弦信号发生器实验的源码,这是老师的资料,对课堂很有帮助-This file is the sine signal generator with source code, it is the teacher of information helpful to the classroom
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:574
    • 提供者:董军
  1. 基于单片机函数信号发生器设计(单电源)

    3下载:
  2. 基于单片机的函数信号器的设计,该信号发生器可产生方波三角波正弦波三种波形,而且幅度、频率可调。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. kangshifu

    0下载:
  2. 基于串行DA芯片TLC5620的正弦信号发生器(A sinusoidal signal generator based on serial DA chip TLC5620)
  3. 所属分类:串口编程

    • 发布日期:2017-12-22
    • 文件大小:724992
    • 提供者:十心
  1. 虚拟信号发生器

    0下载:
  2. 产生方波、正弦波、锯齿波信号,是一款比较好的函数发生器和信号发生器。(generate all kinds of wave graph)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-31
    • 文件大小:20480
    • 提供者:devinsolar
  1. 虚拟声卡正玄波信号发生器

    0下载:
  2. 虚拟示波器,毕业设计,正弦波信号发生器,字数补丁(Graduation project for Sine wave signal generator base on Virtual oscilloscope.)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-03
    • 文件大小:305152
    • 提供者:pursuit
« 12 3 4 5 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com