CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 浮点数 VHDL

搜索资源列表

  1. fft_debug

    1下载:
  2. 能进行32位浮点数fft运算的VHDL描述。-can float for 32 fft Operational VHDL descr iption.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:135365
    • 提供者:liuqifeng
  1. fpu

    1下载:
  2. 使用VHDL语言描述的单精度浮点处理器。源代码来自国外网站。可实现单精度浮点数的加减乘运算。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:16530
    • 提供者:WeimuMa
  1. pi_ctrl

    0下载:
  2. VHDL实现PI调节的算法。内部使用整数计算,避开了浮点数的运算。仿真结果正确
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1090
    • 提供者:刘新
  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. dattransf.rar

    0下载:
  2. 基于VHDL的10位定点数转浮点数模块源代码,可综合,VHDL-based set of 10 points to float the source code modules can be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1898
    • 提供者:
  1. fudianshuyunsuan

    0下载:
  2. 介绍一组浮点数的运算代码,包括加减乘除运算的VHDL代码实现-Introduced a set of floating-point code of the operation, including addition and subtraction multiplication and division operations to achieve the VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:323472
    • 提供者:jiachen
  1. mul(FLP)

    2下载:
  2. 一个32位元的浮点数乘法器,可将两IEEE 754格式的值进行相乘-A 32-bit floating-point multipliers, can be two format IEEE 754 values multiplied
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1765
    • 提供者:TTJ
  1. top_pnadd32

    0下载:
  2. 32位元浮点数加法器,用于以VHDL编写的32位元CPU-32 bits floating-point Add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2289
    • 提供者:朋友
  1. cpu

    0下载:
  2. 16位元浮点数CPU,可作运算,以VHDL编写-16-bit floating point CPU, can be used for computing in order to prepare VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2334
    • 提供者:朋友
  1. 在VHDL中实现高精度快速除法

    2下载:
  2. 高精度的浮点数除法运算,基于浮点运算的FPGA实现,单精度浮点数-High-precision floating-point division operation, the FPGA based on the realization of floating-point operations, single precision floating point
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-19
    • 文件大小:81437
    • 提供者:jiachen
  1. FPGArealiztionofdigitalsignalprocessing

    0下载:
  2. 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHD
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-06
    • 文件大小:260196
    • 提供者:kevin
  1. fix2float_signed

    0下载:
  2. VHDL语言,有符号定点数转化为浮点数,Pavle Belanovic教授编写-Conversion from signed fixed-point to floating-point representation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3133
    • 提供者:刘畅
  1. DesignofFloatingPointCalculatorBasedonFPGA

    0下载:
  2. 给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程;通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能;在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证 了基于FPGA的浮点运算。 -The overall framework of system design and realization of each module which contain selection of ch
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3488790
    • 提供者:mabeibei
  1. float_fixnumber

    0下载:
  2. 将15位(1,5,9)格式的浮点数转换成18位的定点数-To 15 (1,5,9) floating-point format into 18 fixed points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:374347
    • 提供者:陈晓
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. floatmultiplierVHDL

    1下载:
  2. 32为浮点数乘法的vhdl源代码,嵌入式系统中有可能会用到,基于fpga硬件实现-32 for the floating point multiplication vhdl source code, embedded systems may be used, based on fpga hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2365
    • 提供者:刘业超
  1. Fau

    0下载:
  2. 使用vhdl写的32位 64位浮点数加法模块、浮点数乘法模块、浮点数除法模块(Use vhdl write 32-bit 64bit floating-point addition module, floating-point multiplication module, floating-point division module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-15
    • 文件大小:29696
    • 提供者:文中羊
  1. f8730f202fe8

    0下载:
  2. 基于vhdl的pi算法,程序写的很详细,利用整数运算避免使用浮点数(PI algorithm based on VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 基于VHDL实现单精度浮点数的加-减法运算

    1下载:
  2. vhdl 加法器和减法器 希望对VHDL的同学有参考作用(VHDL adder and function as relative reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:669696
    • 提供者:angryzookey
  1. 矩阵乘法器

    1下载:
  2. 基于乘法器ip核实现的矩阵乘法器,最大支持16*16的矩阵,基于VHDL编写,仅支持整数,浮点数类型请自行添加浮点数IP核支持。
  3. 所属分类:VHDL编程

    • 发布日期:2019-09-01
    • 文件大小:17306253
    • 提供者:hhhhhppppp
« 12 »
搜珍网 www.dssz.com