CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电梯 VHDL

搜索资源列表

  1. dianti

    0下载:
  2. 三层电梯vhdl程序 实现上下请求 显示 排序等功能-Vhdl program three elevators up and down the request shows that the functions of sorting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1105
    • 提供者:李永刚
  1. Elevator_controller

    0下载:
  2. 电梯控制器VHDL程序与仿真,程序注释详细,可读性强。-Elevator controller and simulation of VHDL program, the program notes in detail, strong readability.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161886
    • 提供者:
  1. liftvhdl

    0下载:
  2. 四层电梯vhdl 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9271
    • 提供者:林姗
  1. VDHL

    0下载:
  2. 电梯的设计·用来控制6层的电梯设计原来·VHDL语言-Elevator designed to control the lift design 6 original VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162737
    • 提供者:tdh
  1. THREELIFT

    0下载:
  2. 三层电梯控制器 1.每层没门口有上升和下降请求开关,电梯内设有顾客到达层次停站请求开关 2.门口有位置指示装置及电梯运行模式指示装置 3.电梯每秒升(降)一层楼 4.到达有停站请求的楼层经过一秒打开门,开门灯亮,四秒后关门,开门灯灭,继续运行,直到最后一个请求信号停留在当前层 5能记忆电梯内所有请求,按电梯运行规则顺序响应,每个请求信号保留至执行后消除 6.电梯运行规则:当电梯上升时,只响应比所在位置高的上升请求,依次执行 如有高层下降请求,则直上到该层然后下降.下降时相
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:4794
    • 提供者:吴琳珠
  1. dianti_6

    0下载:
  2. 6层电梯设计(有详细开发过程及大量注释)-6-storey elevator design (a detailed development process and a large amount of the Notes)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:174291
    • 提供者:WCX
  1. ff

    1下载:
  2. QUARTUS II平台上的基于VHDL语言的电梯系统控制程序。-QUARTUS II platform based on the VHDL language elevator system control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259270
    • 提供者:凌丽
  1. Lift

    1下载:
  2. VHDL编写的6层电梯控制器,可在Altera的CPLD系统运行实验,内附实验报告-VHDL prepared 6-storey elevator controller in Altera s CPLD system experiment, experimental report containing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:770573
    • 提供者:许昕
  1. liucengdianti

    0下载:
  2. 六层电梯控制器:可以基本实现六层电梯控制器的各种功能.-Six-storey elevator controller: You can basically realize the six-storey elevator controller functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4982
    • 提供者:孙超
  1. vhdl

    0下载:
  2. 电梯控制器的模块电路,其中一个很重要的模块,是txt格式的代码-Elevator controller module circuit, which is a very important module is the code txt format
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:1557
    • 提供者:yang
  1. elevator

    0下载:
  2. VHDL开发环境,电梯控制系统,实现电梯的上下传送控制。-VHDL development environment, elevator control system, transmission control up and down elevators.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1763973
    • 提供者:王蕊
  1. lift

    1下载:
  2. 我自己写的六层电梯程序,用的语言是VHDL,还有仿真的图,非常有用,-I wrote it myself six lift procedures, the language used is VHDL, simulation of the Fig also, very useful,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:162270
    • 提供者:易勇辉
  1. Elevator

    1下载:
  2. 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:162470
    • 提供者:xieyi
  1. elevator

    0下载:
  2. 用VHDL编写的一个电梯控制程序,花了很长时间,应该很不错的-VHDL prepared with a elevator control procedures, took a long time, should be very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:731954
    • 提供者:小毛
  1. diantikongziqi

    0下载:
  2. 电梯控制器的设计与分析.对电梯的控制过程进行VHDL语言描述。-Elevator controller design and analysis. On the elevator control process described in VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:422304
    • 提供者:水牛EDA
  1. diantivhdl

    0下载:
  2. 电梯的vhdl设计,6层楼含开关门,警报,内部请求,外部请求-Vhdl elevator design, six floors with switch doors, alarm, internal requests and external requests
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162515
    • 提供者:卢宇
  1. zdsjdt

    0下载:
  2. 自动升降电梯控制器设计 要求: 设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。-Auto-Lift elevator controller design requirements: design of a six-story elevator controller. The controller can control the completio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:140896
    • 提供者:dws
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. lift

    0下载:
  2. 本设计用VDHL实现了50层电梯的控制,实现的功能有(1)用LED显示电梯的行进过程,即用数码管显示电梯当前所在楼层的位置。 (2)在每层电梯的入口处有两个按钮上升请求(up)和下降请求(down),按钮按下时则对应的LED亮。 (3)电梯到达了有请求的楼层之后,把门打开。停留15秒之后,把门关闭。 (4)电梯的运行遵循方向优先原则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下面上逐个执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求则直接上升到有下楼请求的最
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7878168
    • 提供者:Jackie Liang
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com