CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 简易发生器

搜索资源列表

  1. 简易信号发生器源程序

    0下载:
  2. 51单片机 简易信号发生器原程序,此程序能够帮助初学者很好的认识51单片机的C语言开发-51 SCM simple signal generator program, this program can help beginners a good understanding of the MCU 51 C-language development
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1647
    • 提供者:链霉菌
  1. 8位可预置的循环移位数字信号发生器、简易逻辑分析仪

    2下载:
  2. 简易逻辑分析仪的设计用源代码,为05年电子大赛2等奖作品-simple logic analyzer with the design of the source code for electronic 05 2 Prize Competition works
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80105
    • 提供者:邓勇
  1. 简易数字万用表汇编源码

    1下载:
  2. 汇编源码   各个子程序1温度计,2波形发生器,3定时器,4脉冲计数器,5交通灯  6pc机通信
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-02-14
    • 文件大小:7628
    • 提供者:xinxizheng
  1. 信号发生器程序

    0下载:
  2. 简易信号发生器源程序
  3. 所属分类:嵌入式/单片机编程

  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. 8位可预置的循环移位数字信号发生器

    0下载:
  2. 8位可预置的循环移位数字信号发生器、简易逻辑分析仪,8 of the cyclic shift can be preset digital signal generator, simple logic analyzer
  3. 所属分类:其他小程序

    • 发布日期:2017-03-24
    • 文件大小:80109
    • 提供者:bai
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. signalproductProtues.rar

    0下载:
  2. 简易信号发生器+Protues仿真,函数波形发生器产生波形表,通过DA转换产生正弦波。,Simple Signal Generator+ Protues simulation, waveform function generator waveform table, have a sine wave through the DA converter.
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:88403
    • 提供者:yizhang
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. jyxhfsq

    0下载:
  2. 这是一个用KEIL C编写的简易信号发生器的源码,可以自己动手做的简易发生器-This is a summary prepared by KEIL C of the source signal generator can be a simple DIY Generator
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:22270
    • 提供者:jack
  1. xinhaofashengqi

    0下载:
  2. 简易信号发生器:有原理图,仿真图,C程序,功能比较齐全-Simple Signal Generator: A schematic diagram, simulation plans, C procedures, functions relatively complete
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:149738
    • 提供者:王勇
  1. wavegenetor

    0下载:
  2. 用LPM_ROM设计存放一个周期的256×8大小的rom,构建简易频率可控的正弦波发生器。 -LPM_ROM design store with a cycle of 256 × 8 size of rom, build a simple sine wave generator frequency controllable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:122966
    • 提供者:张炳良
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. mcu2

    0下载:
  2. 本文详细介绍了用单片机构成简易两路同步低频信号发生器-It has demostrated the MCU sampling the low frequency signal!
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:253161
    • 提供者:qiang
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. bxfsqeasy

    0下载:
  2. 简易的多波形发生器,使用51单片机,实现各种波形,可以调频,调幅-Simple multi-waveform generator, using 51 single chip to achieve a variety of waveforms can be FM, AM
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:41510
    • 提供者:joke
  1. xinhaofashengqi

    0下载:
  2. 信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。信号发生器在电路实验和设备检测中具有十分广泛的用途。现在我们通过对信号发生器的原理以及构成设计一个能变换出锯齿波、正弦波、方波的简易发生器。我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。按照设计的方案选择具体的原件,焊接出具体的实物图,并在实验室对焊接好的实物图进行调试,观察效果并与课题要求的性能指标作对比。最后分析影响因素。-Sign
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:159105
    • 提供者:韦韦
  1. dac

    0下载:
  2. 简易函数发生器,能产生正弦波,三角波,梯形波,方波,并且可调频率和幅度值。-Simple function generator can produce sine, triangle wave, trapezoidal wave, square wave, and the adjustable frequency and amplitude values.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:32404
    • 提供者:刁杰
  1. Last Loaded xinhaogai

    0下载:
  2. 本文设计了一个简易函数发生器,可根据要求生成正弦波、方波、 三角波和锯齿波,波形的幅值、频率和电流偏置量均可在一定范围内调节。(A simple function generator is designed in this paper. Sine wave, Fang Bo wave, triangle wave and sawtooth wave can be generated according to the requirements. The amplitude, frequency a
  3. 所属分类:单片机开发

  1. 简易信号发生器源程序

    0下载:
  2. 8951单片机的简易信号发生器源程序,可以输出不同的波形。(The simple signal generator source program of 8951 singlechip can output different waveforms.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-07
    • 文件大小:10240
    • 提供者:skylpy
« 12 3 4 5 6 »
搜珍网 www.dssz.com