CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 简易 电子琴 设计 VHDL

搜索资源列表

  1. dianziqin

    2下载:
  2. 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125026
    • 提供者:haiyang
  1. VHDL

    0下载:
  2. 1、 设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、 用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有扬声器。 4、 可以使用GW48-PK2上的12MHz作为输入时钟信号。 -1, the design of a simple flower. Requirements can play for the tenor of the range of 1 to treble the 1.2, and GW48-PK2 in eight ke
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:31012
    • 提供者:朱磊
  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. VHDL

    0下载:
  2. 本文介绍的是基于VHDL的简易电子琴的设计,采用EDA作为开发工具。-This article describes a simple VHDL-based keyboard design and use of EDA as a development tool.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:124742
    • 提供者:艾无止境
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. VHDLbaseddesignofmusicplayer

    0下载:
  2. 在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计,并在此基础上,基于同一原理,使此电路同时具备了简易电子琴的功能,使基于CPLD/FPGA芯片的乐曲播放数字电路得到了更好的优化,提高了设计的灵活性和可扩展性。- Based on the QuartusII-the EDA development tool, this design has adopted the method of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:97461
    • 提供者:bianwei
  1. key_music

    0下载:
  2. 简易硬件电子琴 在开发板上实现一个简易电子琴,按下KEY1~KEY7 分别表示中音的DO、 RE、MI、FA、SOL、LA、SI 按住KEY8 再按KEY1~KEY7 分别表示高音的 DO、RE、MI、FA、SOL、LA、SI。通过这个实验,掌握利用蜂鸣器和按键 设计硬件电子琴的方法。-Simple hardware keyboard In the development of board achieve a simple keyboard, press KEY1 ~ KEY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10734
    • 提供者:罗文
  1. dianziqin

    0下载:
  2. EDA技术与VHDL语言课程设计,简易电子琴-EDA technology and VHDL language curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:16579
    • 提供者:刘彬
  1. eda-Electronic-organ

    0下载:
  2. 本设计的是简易电子琴的实现。采用EDA作为开发工具,VHDL语言为硬件描述语言,quartus II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别。     利用数控分频器设计一个电子琴硬件电路,通过键盘输入使扬声器发出不同频率的声音。-This design is the realization of simple electronic piano. Using EDA a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-09
    • 文件大小:1782229
    • 提供者:蔡颖
  1. VHDL简易电子琴设计(1).doc

    0下载:
  2. 这是一个简单的基于vhdl的电子琴,有自动播放和使用按键来制作不同的音色。(The design of a simple electronic organ based on VHDL, with the automatic playing and the use of keystrokes to make different tones)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:124928
    • 提供者:找你呢
搜珍网 www.dssz.com