CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机系统

搜索资源列表

  1. hotel-system

    0下载:
  2. VFP的毕业设计,交换C++的毕业设计(饮料自动售货机系统)-VFP graduation design, the exchange of C graduate design (beverage vending machine system)
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:372712
    • 提供者:wu
  1. Sheriff_AutoSale

    1下载:
  2. 自动售药系统,类似自动售货机系统,触摸屏模式:VB6.0+ACCESS+windows2000;-vending medicine system, similar vending machine systems and touch-screen mode : ACCESS VB6.0 by Windows;
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:5614032
    • 提供者:sheriffyang
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. umldrink.基于UML的饮料自动售货机系统设计

    0下载:
  2. 基于UML的饮料自动售货机系统设计该设计模拟自动售货机的工作原理和方式,完成出售商品、接收钱币、找零钱等功能。 与系统交涉的人有两类:送货员和顾客。 ,UML-based system design beverage vending machine vending machine simulation of the design principles and methods of work, completed the sale of goods, receiving money, look
  3. 所属分类:SQL Server数据库

    • 发布日期:2017-04-09
    • 文件大小:2493980
    • 提供者:feng
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending machines can sell four kinds o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3215
    • 提供者:秦发斌
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 课程软件小组自动售货机系统需求分析初稿,供大家分享-Course software group vending machine system the preliminary needs analysis for everyone to share
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-30
    • 文件大小:25410
    • 提供者:baby
  1. shop

    0下载:
  2. 自动售货机控制系统,具有对货物信息的存储,进程控制,硬币处理,余额计算和显示等功能。-Vending machine control system, with information on goods store, process control, coin processing, the balance of the calculation and display functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1738
    • 提供者:dong
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. Cplus

    0下载:
  2. 常用C++源码集合,包括闹钟、位图转化、学生成绩管理系统、人脸检测系统、ping系统、自动关机系统、Txt文件转换、超级玛丽、工资管理系统、BIOS操作、中国象棋、自动售货机等等。 -Common C++ source code collections, including the alarm clock, bitmap conversion, student achievement management system, human face detection system, ping sys
  3. 所属分类:Graph program

    • 发布日期:2017-05-24
    • 文件大小:7953847
    • 提供者:conroy cheung
  1. saler

    0下载:
  2. 饮料自动售货机系统设计,实现了界面可视化-Beverage vending machine system design and implementation of the interface, visualization
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-06
    • 文件大小:390120
    • 提供者:李晶
  1. sodamachine

    0下载:
  2. 刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程 -Just finished an experiment, transfer up to share writing in general, please forgive the original question is a Massachusetts Institute of T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:232443
    • 提供者:Han
  1. Codejia.com_586ab1766e2b4c702140df55f6e51c1f

    0下载:
  2. 自动售货机系统, 希望对大家有帮助-Vending machine system, we want to help
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4540344
    • 提供者:李辉
  1. SHI3

    0下载:
  2. 编写的一个自动售货机系统,基于控制台的应用程序。-a program that can sell things with it
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-08
    • 文件大小:2485
    • 提供者:shidongyuan
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. VendingMachine

    0下载:
  2. 本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。-Vend Machine
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1517638
    • 提供者:Jackie Liang
  1. 饮料售货机verilog

    0下载:
  2. 设计一个自动售货机系统,每份5分钱的饮料,只能投1分、2分、5分硬币,要求正确地找回钱数。
  3. 所属分类:VHDL编程

  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control system. The system can complete the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:10240
    • 提供者:daolangliu
  1. 自动售货机系统

    0下载:
  2. 该自动售货系统的功能可分为两类。对于管理员,可建立库存信息,查询商品销售量及销售总额;而对于消费者,可查询商品信息,购买商品等主要功能。(The function of the vending system can be divided into two categories. For the administrator, the inventory information can be established, the sales volume and the total sales amou
  3. 所属分类:其他

    • 发布日期:2019-01-13
    • 文件大小:2048
    • 提供者:qwerf
« 12 3 »
搜珍网 www.dssz.com