CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 触发器

搜索资源列表

  1. D触发器的设计

    0下载:
  2. D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.-D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3572
    • 提供者:李鹏
  1. 触发器2

    0下载:
  2. sql中触发器的使用-sql which trigger the use!
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:995
    • 提供者:
  1. jk触发器

    3下载:
  2. 基于matlab的jk触发器,已通过运行。
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:3410
    • 提供者:chzhang_auts
  1. 带同步清0、同步置1 的D 触发器

    0下载:
  2. 带同步清0、同步置1 的D 触发器, Verilog HDL 源码
  3. 所属分类:源码下载

  1. R-S触发器

    0下载:
  2. R-S触发器的vhdl语言描述
  3. 所属分类:VHDL编程

  1. D-S触发器设计

    0下载:
  2. EDA程序写d-s触发器
  3. 所属分类:源码下载

    • 发布日期:2011-05-12
    • 文件大小:819
    • 提供者:fengwutianya
  1. rs_1.rar

    0下载:
  2. rs触发器的设计,是用vhdl实现的,欢迎下载。,rs flip-flop design is achieved using vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:21361
    • 提供者:Mr zhang
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1068
    • 提供者:daniel
  1. my_reg

    0下载:
  2. D触发器,Verilog实现,配有实验说明文档。-D flip-flop, Verilog implementation, with experimental documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:867712
    • 提供者:姚成富
  1. dff1

    0下载:
  2. vhdl maxplus d触发器最基本的定义 自己看看有没有用-vhdl maxplus d trigger the most basic definition of their own to see if there is no use
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:24440
    • 提供者:刘超
  1. S147.7

    0下载:
  2. oracle 触发器和游标基础实例 天气预报触发器 玩具进销存-oracle dos toy weather
  3. 所属分类:Oracle

    • 发布日期:2017-04-13
    • 文件大小:2865
    • 提供者:付三三
  1. Y_0D

    0下载:
  2. 带同步置1、异步清0的D触发器。详细的讲解,易懂。(D flip-flop with synchronous 1 and asynchronous clear 0. Detailed explanation, easy to understand.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:2955264
    • 提供者:紫芩
  1. Exp302

    0下载:
  2. 这是一个在quartus2上写好的JK触发器,下载并运行其中quartus文件即可。(This is a JK trigger written on quartus2, downloading and running the quartus file.)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:7557120
    • 提供者:瓜皮233
  1. shiyanjiu

    0下载:
  2. 学习verilog时写的D触发器实验代码(D flip-flop experimental code written when learning Verilog)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:542720
    • 提供者:lorok
  1. shiyan9

    0下载:
  2. 学习verilog时写的D触发器源代码,供大家参考(D flip-flop experimental code written when learning Verilog)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:542720
    • 提供者:lorok
  1. 触发器

    0下载:
  2. 一个简单的触发器,用于eda实验和电子技术综合实验(A simple digital trigger)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:8192
    • 提供者:左城梦
  1. T-触发器

    0下载:
  2. 在数字电路中,凡在CP时钟脉冲控制下,根据输入信号T取值的不同,具有保持和翻转功能的电路,即当T=0时能保持状态不变,T=1时一定翻转的电路。(A circuit with the function of holding and turning)
  3. 所属分类:网络编程

    • 发布日期:2018-05-03
    • 文件大小:8192
    • 提供者:MATLAB难啊
  1. SPComm触发器

    0下载:
  2. 需要安装spcom控件,提高串口4针和7针进行输出,可以模拟触发电平,启动或停止工业设备,如变频器的运行和停止。(Need to install SPCOM controls, increase the serial port 4 and 7 needles for output, can simulate the trigger level, start or stop industrial equipment, such as the operation and stop of the fr
  3. 所属分类:通讯编程

    • 发布日期:2018-05-06
    • 文件大小:292864
    • 提供者:思学
  1. SqlServer存储过程和触发器介绍总结

    1下载:
  2. 对SqlServer中存储器和触发器的基本使用进行了全面总结(The basic use of SqlServer's memory and trigger summarized.)
  3. 所属分类:SQL Server

    • 发布日期:2020-06-28
    • 文件大小:750592
    • 提供者:max.wu
  1. 采购订单及委外订单由采请单下推后申请单行业务关闭触发器

    0下载:
  2. 采购订单及委外订单由采请单下推后申请单行业务关闭触发器
  3. 所属分类:数据库编程

    • 发布日期:2019-10-31
    • 文件大小:838
    • 提供者:2245957@qq.com
« 12 3 4 5 6 7 8 9 10 ... 37 »
搜珍网 www.dssz.com