CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16?4

搜索资源列表

  1. mysql-4.0.16-win-src

    0下载:
  2. mysql 4.0.16 for windows完整源代码 MySQL 4.0.16完整源代码,可使用VC编译。-windows integrity for MySQL 4.0.16 source code integrity of the source code, use VC compiler.
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:9558804
    • 提供者:施登峰
  1. GraphicCode

    0下载:
  2. 这是实现对图象的方块编码,其中包括用4*4,8*8,16*16三种情况-this is the right image coding sheet, including four with 8,16 * * * 4,8 three of the 16
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:39522
    • 提供者:梁剑
  1. MAPDocument

    1下载:
  2. 1. 总则 4 1.1. 概述 4 1.2. 卖方的建议书要求 5 1.3. 报价要求 6 2. 总体要求 7 2.1. 项目目标 7 2.2. 功能要求 7 2.3. 性能要求 7 2.4. 组网要求 7 2.5. 管理要求 8 2.6. 安全要求 8 3. 技术规范 9 3.1. 电子地图应用实现 9 3.1.1. 电子地图查询界面和方法 9 3.1.2. 电子地图回叫 10 3.1.3. 短信应用发布 11 3.1.4. 查询
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:177052
    • 提供者:zxb
  1. 三种16位整数运算器的ALU设计方法

    2下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器。,Three 16-bit integer arithmetic logic unit of the ALU
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-14
    • 文件大小:800
    • 提供者:yifang
  1. 64x64LED.16字 LED点阵屏+DS1302电子钟 C 程序

    1下载:
  2. 16字 LED点阵屏+DS1302电子钟 C 程序 1 脚接+5V 2,3脚32768HZ晶振 4脚接地 5脚接S51的P02 6脚接S51的P01 7接S51的P00 8脚接后备电源,可以接老计算机主板上的3.6V电池,也可以通过二级管隔离接一个大容量电解电容 电压在2.5V以上即可维持 595连级输出数据,138行驱动 P1_0为时钟模式转换键、P2_1为时钟加键、P1_2为时钟减键、P2_3为时钟复位键。在对时钟调整时未对调整 项做闪烁处理,16-chara
  3. 所属分类:汇编语言

    • 发布日期:2015-07-30
    • 文件大小:3635
    • 提供者:
  1. Ehlib.v4.2.16

    0下载:
  2. Ehlib.v4.2.16 delphi&BCB dbgrid控件-Ehlib.v4.2.16delphi
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-05-13
    • 文件大小:3379968
    • 提供者:幽谷客要
  1. 3LEDKEY

    0下载:
  2. 点阵控制[16 64]\点阵多种移动模式-3LEDKEY
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:40146
    • 提供者:王朋
  1. Algorithms.in.C.Parts.1-4.Fundamentals.Data.Struct

    2下载:
  2. 经典算法书(中文版).《C算法》介绍了当今最重要的算法,共分3卷,本书是第1卷。第1卷分4部分、共16章。第一部分“基础知识”(第1~2章)介绍了基本算法分析原理。第二部分“数据结构”(第3~5章)讲解算法分析中必须掌握的数据结构知识。主要包括基本数据结构、抽象数据结构、递归和树。第三部分“排序”(第6~11章)按章节顺序分别讨论了基本排序方法(如选择排序、插入排序、冒泡排序、希尔排序等)、快速排序方法,归并和归并排序方法、优先队列与堆排序方法、基数排序方法以及特殊目的排序方法,并比较了各种排序
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-06-12
    • 文件大小:19883343
    • 提供者:wang
  1. dz

    0下载:
  2. 16*64点阵制作,实现4个字的动态显示-16* 64 dot matrix production, to achieve four-character dynamic display
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:53032
    • 提供者:王俊权
  1. mrtg-2.16.4

    0下载:
  2. awsome software for protocol connenctivity notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CON
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-09
    • 文件大小:1606698
    • 提供者:webpirate
  1. 1664

    0下载:
  2. 16*64点阵万年历!有时间温度显示!节日提醒功能!家人生日提醒功能!-16* 64 lattice calendar! Time-temperature display! Holiday Reminders! Family birthday reminders!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:641092
    • 提供者:456
  1. UART_LED_MATRIX-16

    0下载:
  2. SDCC 16*64点阵驱动,STC89C52-SDCC 16* 64 dot matrix driver, STC89C52
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:7148
    • 提供者:aizhxh
  1. dianzhen

    0下载:
  2. 16*64 点阵屏代码加仿真,16*64 点阵屏代码加仿真 16*64 点阵屏代码加仿真-LED16*64 dian zheng and fang zheng dai ma
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:524156
    • 提供者:zhuyi
  1. 1664led

    0下载:
  2. 16*64点阵led灯显示程序 基于c51-1664 dot matrix display program led lights
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:19352
    • 提供者:算法
  1. ortp-0.16.5.tar

    0下载:
  2. ortp source code include in linphone 3.4
  3. 所属分类:VOIP program

    • 发布日期:2017-03-24
    • 文件大小:472396
    • 提供者:vuquyet
  1. 8051Pproteus-16

    0下载:
  2. 《单片机C语言程序设计实训100例——基于8051+Proteus仿真》案例压缩包 第 01 篇 基础部分 16 4×4键盘矩阵控制条形LED显示-" Microcontroller C Programming Language Training 100 cases- based on 8051+ Proteus simulation" case archive basis of Article 01 part 16 4 × 4 keypad matrix LED displa
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:39943
    • 提供者:
  1. 74ls138-integral-4-wire-encoder-16

    0下载:
  2. 74ls138组成16..4线编码器 经过本人验证-74ls138 composed of 16 .. 4 line encoder after I verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:36642
    • 提供者:天下
  1. 16.4-and-20.4.txt

    0下载:
  2. csapp performance lab实验代码,直接可用。分数为16.4和20.4-the code of csapp performance lab. the scores are 16.4 and 20.4
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:1505
    • 提供者:wennnnndy
  1. ixgbevf-2.16.4.tar

    0下载:
  2. Intel 10 千兆位网卡虚拟功能驱动2.16.4版-Intel virtual function driver 2.16.4
  3. 所属分类:Driver develop

    • 发布日期:2017-04-30
    • 文件大小:160613
    • 提供者:胡天
  1. 4乘4键盘扫描控制器

    2下载:
  2. 1. 键值采用16进制编码,即16个按键分别对应显示16进制数 0~F,按键对应关系如下:最上面一行从左至右依次为0~3, 第二行从左至右依次为4~7,第三行从左至右依次为8~B,最 下面一行从左至右依次为C~F,其中b、d显示为小写,其他字 母大写; 2. 按键按下时显示当前键值并保持,直到下一按键被按下时更新 显示; 3. 只有按键被按下时蜂鸣器发出按键音,放开后蜂鸣器不发声。 4. 每个按键对应不同的按键音。(1. The key value is encoded in hexadecim
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-13
    • 文件大小:3444736
    • 提供者:Minbadly
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com