CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16位booth算法的乘法器

搜索资源列表

  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. booth.rar

    0下载:
  2. 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码,VerilogHDL language based on the 16-bit multiplier of the booth algorithm and test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1327
    • 提供者:lixiang
  1. 95637012Multiplier

    0下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。- This file contains all the entity-architectures for a complete-- k-bit x k-bit Booth multiplier.-- the design makes use of
  3. 所属分类:Algorithm

    • 发布日期:2017-04-17
    • 文件大小:358142
    • 提供者:zhou
  1. Multiplier16

    1下载:
  2. 本文设计了一种可以实现16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了补码一位乘(Booth算法), 简化了部分积的数目, 减少了某些加法运算,从而提高了运算速度。该乘法器利用Verilog代码实现,通过Modelsim软件对相应的波形进行仿真验证,并通过QuartusII软件对源码进行编译综合。-This paper designed a 16 signed/unsigned binary number multiplication of the multiplier can be a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5754546
    • 提供者:hxy
  1. booth

    0下载:
  2. 基于booth算法的16位乘法器,通过减少部分积的运算次数提升速度。(The 16 bit multiplier based on the Booth algorithm improves the speed by reducing the number of arithmetic times of the partial product.)
  3. 所属分类:中间件编程

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:JoincoreX
搜珍网 www.dssz.com