CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16 bit alu

搜索资源列表

  1. 三种16位整数运算器的ALU设计方法

    2下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器。,Three 16-bit integer arithmetic logic unit of the ALU
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-14
    • 文件大小:800
    • 提供者:yifang
  1. 16bitalu

    0下载:
  2. 16 bit alu using the vhdl it has 16 function perform by control unit with 4 control signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1002193
    • 提供者:jai
  1. Alu1232

    0下载:
  2. An 8-bit ALU with 16 operations: logic, arithmetic, shifts.
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:980
    • 提供者:nik
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. Alu_Solution

    0下载:
  2. Solution for 16bit ALU component in vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:514
    • 提供者:andrewnick
  1. vhdl_for_16

    0下载:
  2. vhdl code for 16-bit ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13994
    • 提供者:vinoth
  1. vhdl-cpu-16-bit

    0下载:
  2. VHDL processsor 32 bit ALU SRF BUS DATA ADRESS C16 System On Chip Architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:976737
    • 提供者:luis
  1. alu-10-10

    0下载:
  2. 16位运算器,包含+、-、与或非、移位等功能,内部指定a、b、cin,输入clk与rst,输出16位y与c\z标志位-16-bit arithmetic unit, including+,-, and or, shift and other functions, within the specified a, b, cin, input clk and rst, 16-bit output y and c \ z flag
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2282
    • 提供者:张海洋
  1. MIPS-Parts

    0下载:
  2. // * Data Memory and IO: This is the data memory, and some IO hardware // * 8x16 register file: eight 16-bit registers // * 16-bit ALU // * 2:1 16-bit Multiplexer // * Sign extender from 7 to 16 bits // * 4:1 16-bit Multiplexer-// * Data Me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2336
    • 提供者:Billy Bob
  1. myboothmul

    0下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器-Three 16-bit integer arithmetic logic unit of the ALU desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:176857
    • 提供者:王川
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. ALU16bit

    0下载:
  2. design ALU 16 bit in VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-11-30
    • 文件大小:348976
    • 提供者:vinh
  1. ALU

    0下载:
  2. 8-bit unsigned, 16 operations(arithmetic and logic).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:965
    • 提供者:Taffy
  1. 16-bit-alu

    0下载:
  2. arithmetic and logic unit of sixteen bit
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:10640
    • 提供者:nadanarani
  1. 16bit-ALU

    0下载:
  2. 16位ALU。包括超前进位加减法器、大小比较、算术逻辑位移等运算-16-bit ALU. Including lookahead adder-subtractor, size comparison, arithmetic and logic operations displacement
  3. 所属分类:Algorithm

    • 发布日期:2017-04-11
    • 文件大小:1064
    • 提供者:Fan
  1. 16weiALU

    0下载:
  2. VHDL代码编写的一个16位ALU运算器-VHDL code written in a 16-bit ALU arithmetic
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1104
    • 提供者:基哥
  1. alu

    0下载:
  2. 16位微处理器,能完成算数移位,逻辑移位,数字比较,逻辑运算等功能-16-bit microprocessor, to complete arithmetic shift, logical shift, numeric comparison, logical operations and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2195
    • 提供者:Jeff
  1. alu_Acc_16bit

    0下载:
  2. Alu 16 bit VHDG Altera project
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:525326
    • 提供者:darrav
  1. alu

    1下载:
  2. 实现了四位快速加法器,并在此基础上实现了16位和32为快速加法器(Based on the implementation of four bit fast adder, 16 bit and 32-bit fast adders are realized)
  3. 所属分类:GIS编程

    • 发布日期:2020-07-11
    • 文件大小:35840
    • 提供者:啊谬
搜珍网 www.dssz.com