CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8 bit counter

搜索资源列表

  1. C51-counter.rar

    0下载:
  2. 用C51座位CPU的计算器,具备8位数加减乘除功能。P0作为数码管的段控制,P2作为数码管位显示控制,P3控制4x4键盘阵列。,C51 as the CPU, the counter can process 8 bit numbers "+,-,*,/". P0 contro the LED and P2 for which bit,P3 oprate the keyborad
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2079
    • 提供者:梁文梓
  1. Counter

    0下载:
  2. 描述的是一个含计数使能异步复位和计数值并行预置功能8 位的加法-With descr iption of a count enable asynchronous reset and preset features of numerical parallel 8-bit adder
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:621
    • 提供者:zhskyang
  1. cnt8bc

    1下载:
  2. 8位加减带异步复位计数器,使用双向输入管脚- Design an 8-bit up and down synchronous counter in VHDL with the following features: The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered. The counter is with an asynch
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-13
    • 文件大小:878
    • 提供者:fjmwu
  1. 11

    0下载:
  2. cnt6.bdf 六进制约翰逊计数器 counters.vhd 不同功能的简单计数器 count60.vhd 60进制计数器 count60.bdf 60进制计数器 counter_1024.vhd 8位二进制计数器 counter_1m.vhd 16位二进制计数器 counter.vhd N进制计数器-M Johnson cnt6.bdf six different functions counters.vhd counter simple counter count
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:7764
    • 提供者:libing
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. shifter

    0下载:
  2. 完成一个加速器设计,全加器,具 8位计数器-Complete a accelerator design, full adder, an 8-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1150
    • 提供者:熊刚
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: frequency output that: D [7 .. 0] as
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:603
    • 提供者:张娟
  1. UpDownCounter

    0下载:
  2. 8-Bit Up Down Counter Verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:306651
    • 提供者:gunkaragoz
  1. 8-bitcountercanbepresetthereproteussimulationsourc

    0下载:
  2. 可预置的8位计数器源程序还有proteus仿真-8-bit counter can be preset there proteus simulation source code
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:20770
    • 提供者:jhdj
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. counter

    0下载:
  2. 8 bit counter-8 bit counter!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1041
    • 提供者:Tuan Nguyen
  1. HW3

    0下载:
  2. Write VHDL codes to model an 8-bit counter that counts every second. It counts from your last two digits of your student ID to your next two digits of your student ID. If the last two digits are greater than the next two digits, the counters counts d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:296164
    • 提供者:XingSu
  1. 8-Bit-Up-Counter-With-Load

    0下载:
  2. 8位计数器与负荷 -----------------------8位计数器与负荷 -8-Bit Up Counter With Load 1------------------------------------------------------- 2-- Design Name : up_counter_load 3-- File Name : up_counter_load.vhd 4-- Function : Up counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4838
    • 提供者:王浩
  1. 8-jinzhi-counter

    0下载:
  2. 8进制计数器 每计数八次进一次位,vhdl语言的基础程序,对初学者很有帮助-8 binary counter into a bit of each of eight counts, vhdl language based program, very helpful for beginners
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:643
    • 提供者:zhaohong
  1. 8-bit-decimal-frequency-meter

    0下载:
  2. 利用FPGA,实现8位十进制频率计功能。高效,实用。-Using FPGA, to achieve 8-bit decimal frequency counter function. Efficient and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:306796
    • 提供者:云龙
  1. The-8-down-counter-design

    0下载:
  2. 带异步复位和计数使能控制的8位二进制减法计数器设计-With asynchronous reset and the count enable control 8 bit binary subtraction counter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:692795
    • 提供者:于永远
  1. 8-Bit-Up-Counter-With-Load

    0下载:
  2. 8位计数器,能实现加减计数,经过ise 测试仿真了。符合逻辑-8-bit counter, plus or minus count after ise test simulation. Logical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:676
    • 提供者:郭稳
  1. PLC-8-bit-counter-proteus

    0下载:
  2. PLC 8位计数抢答器的程序已及仿真程序图-PLC 8-bit counter Responder program has been and simulation program in Figure
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:52270
    • 提供者:
  1. verilog-8-bit-Gray-Counter

    0下载:
  2. Verilog 8 bit Gray Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:9771
    • 提供者:cmags
  1. Count8

    0下载:
  2. vhdl code for eight bit counter
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:287744
    • 提供者:nilan
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com