CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8051 ram

搜索资源列表

  1. cygnal-brief

    0下载:
  2. C8051F单片机是完全集成的混合信号系统级芯片(SoC),具有与8051兼容的高速CIP-51内核,与MCS-51指令集完全兼容,片内集成了数据采集和控制系统中常用的模拟、数字外设及其他功能部件;内置FLASH程序存储器、内部RAM,大部分器件内部还有位于外部数据存储器空间的RAM,即XRAM。C8051F单片机具有片内调试电路,通过4脚的JTAG接口可以进行非侵入式、全速的在系统调试。-C8051F is a fully integrated mixed-signal system-on-c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:29748
    • 提供者:覃莉
  1. CYPRESS_A3load

    0下载:
  2. a3load is 8051 firmware that can be used for uploading or downloading to EZ-USB RAM (internal or external). It implements the vendor specific command bRequest = 0xA3. The address to download/upload to/from is specified in the wValue field of t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4769
    • 提供者:汪洪波
  1. 8051单片机扩展RAM的典型例子

    0下载:
  2. 8051单片机扩展RAM的典型例子,采用6264芯片,附电路图,8051 classic example of the expansion of RAM, the 6264 chip, with circuit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-26
    • 文件大小:83566
    • 提供者:zhangruibin
  1. ucosii51

    0下载:
  2. 这是一个用51单片机系统仿真ucosii工作的protues电路 本系统包括扩展64k RAM的单片机系统和杨屹移植到51单片机的ucosii 系统 使用方法: 1.安装Protues7.4版,然后用protues打开protues 8051 simulation 文件夹 中的extern ram.DSN 文件 2.打开extern ram.DSN 后,双击单片机在弹出的窗口中的Program File 选项 中选择yy.hex文件。 (yy.h
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:265789
    • 提供者:官卫乾
  1. 8051 Memory Write Example Program

    0下载:
  2. 8051单片机的存储器操作源程序,通过调用这个程序可以实现对存储器的控制-8051 memory operation source, by calling this procedure can be achieved for the memory control
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:8459
    • 提供者:吴松
  1. AT89C51SND1C_with_LCD_driver

    0下载:
  2. AT89C51SND1C是AT89C51核心的处理器,包含一个MP3解码器,完全兼容8051的Keil C51编译环境,适合8051使用者的MP3解码应用。本代码在基本代码基础上增加了LCD驱动部分,需要比较多的RAM和ROM资源,大家按照自己的系统资源情况,选择基本版本代码还是扩展LCD的代码。-AT89C51SND1C is AT89C51 core processors, includes an MP3 decoder, 8051 is fully compatible with the
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:18585
    • 提供者:walter
  1. aduc812

    0下载:
  2. ADuC812是美国AD公司推出的高性能单片机,具有集成度高、资源丰富等特点。 (1) 基于8051的内核,指令系统与8051兼容,额定工作频率12MHz。 (2) 8KB片内闪速/电擦除程序存储器 640B片内闪速/电擦除数据存储器 256B片内数据RAM。 (3) 3个16位的定时器/计数器 32根可编程I/O线 9个中断源,2个优先级。 (4) 1个8通道,高精度12位ADC 2个12位电压输出DAC。 (5) 1个片内温度传感器。 (6) 采用3V、5V电压工作
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:695691
    • 提供者:白涛
  1. DW8051_ALL

    4下载:
  2. 包中包括, DW8051完整的Verilog HDL代码 两本手册: DesignWare Library DW8051 MacroCell, Datasheet DesignWare DW8051 MacroCell Databook 三篇51论文: 基于IP 核的PSTN 短消息终端SoC 软硬件协同设计 Embedded TCP/ IP Chip Based on DW8051 Core 以8051为核的SOC中的万年历的设计 -DW8051 is desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:1587754
    • 提供者:myfingerhurt
  1. 3

    0下载:
  2. 8051单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说-8051 contains the CPU, program memory (ROM), data memory (RAM), timer/counter, parallel port, serial port and interrupt system, several modules and data bus
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:106513
    • 提供者:huyongli
  1. Dtmdesign

    0下载:
  2. 利用8051单片机、常用数字逻辑接口器件、ROM、RAM存储器器件、单总线数字温度传感器DS18B20,设计一种基于单片机的数字温度计,温度检测范围为0-90度,要求能够通过键盘设定温度超限报警值,通过LED或LCD显示当前温度值。-The use of 8051, commonly used digital logic interface device, ROM, RAM memory devices, single-bus digital temperature sensor DS18B20
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:234236
    • 提供者:cp
  1. Vend_ax

    0下载:
  2. This directory contains the 8051 firmware Vend_Ax source code for the Cypress EZ-USB chip. The purpose of this software is to demonstrate how to implement vendor specific commands. The following vendor specific commands are implemented:
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:25665
    • 提供者:Willson Yang
  1. DW8051

    3下载:
  2. 8051Ip核内部ram。很多8051iP核都没有内部ram,上传一个希望对大家有用-internel ram of 8051Ip
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2012-11-19
    • 文件大小:73604
    • 提供者:刘超
  1. 8051

    0下载:
  2. 单芯片8051实务与应用 共十三章 介绍RAM,ROM的应用,其他模块用法。-Practice and application of single-chip 8051 a total of 13 chapter describes the RAM, ROM applications, usage of other modules.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5237269
    • 提供者:杨平
  1. USBMeasureAndControl

    0下载:
  2. 基于USB的数据采集系统,使用两个8051控制和双端口RAM,包含电路设计原理图-USB-based data acquisition system, using two 8051 control and dual-port RAM, including circuit schematics
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:229404
    • 提供者:陈成军
  1. 8051_ram

    0下载:
  2. 8051 schematic with ram and rom
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:467049
    • 提供者:btaranto
  1. 8051-App2

    0下载:
  2. 把R0中的一位十六进制数转换成七段显示码输出到P1口,分段函数,内部RAM一段连续区域中的内容复制到外部RAM,平方值查表-failed to translate
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:1402
    • 提供者:Jack Bian
  1. 8051

    0下载:
  2. 8051单片机汇编语言指令工具包,包括: CASM.exe: 51/96系列编译程序,汉语提示,原程序注解可用中文。使用格式: casm 文件名。 ce.exe: 汉化文本编辑器。 cho.exe: 汉化HEX-BIN转换器。 cho1.exe: 另一个汉化HEX-BIN转换器。 asmread.exe: casm.exe使用说明。 com51.exe: 8051汇编指令集。 ram51.exe: 8051内RAM一览表。
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-30
    • 文件大小:120776
    • 提供者:王衍平
  1. 8051

    0下载:
  2. VHDL语言编写的SW8051IP核,并加入ROM,RAM,RAMX,PLL模块,可下载HEX文件并验证成功-VHDL language SW8051IP nuclear and add ROM, RAM, RAMX, PLL modules, you can download the HEX file and verify success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16233136
    • 提供者:苏杭
  1. 8051

    0下载:
  2. A microcontroller is a small computer (SoC) on a single integrated circuit containing a processor core, memory, and programmable input/output peripherals. Program memory in the form of Ferroelectric RAM, NOR flash or OTP ROM is also often included on
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:2042166
    • 提供者:samy
  1. 01-CLR-RAM

    0下载:
  2. 8051 assamlber which clear RAM of AT89C51
  3. 所属分类:Compiler program

    • 发布日期:2017-05-05
    • 文件大小:10194
    • 提供者:alisher
« 12 3 »
搜珍网 www.dssz.com