CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - AD

搜索资源列表

  1. CS553x系列AD读写原码

    1下载:
  2. Cirrus Logic的24bit AD转换芯片,性能相当不错,性价比很难有与之匹敌的
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-11-09
    • 文件大小:2779
    • 提供者:hrblgd
  1. ad程序

    0下载:
  2. 2812-MCBSP的AD转换程序
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2010-07-15
    • 文件大小:2454
    • 提供者:Kevin1987
  1. 多信道多接口无线Ad-Hoc 网络实现方案

    0下载:
  2. 此文档是关于Ad-Hoc实现多播多信道的,解决传统的Ad-hoc无法解决多播多信道的困难。。
  3. 所属分类:源码下载

  1. 基于pic18f252的AD转换程序

    1下载:
  2. 基于PIC18f252的ad转换程序
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2011-05-10
    • 文件大小:30787
    • 提供者:jurey@666
  1. AD查询

    1下载:
  2. 网络AD user 浏览器
  3. 所属分类:源码下载

    • 发布日期:2011-06-02
    • 文件大小:1190
    • 提供者:eagoqin
  1. AD转换原理

    0下载:
  2. 详细介绍AD转换的原理
  3. 所属分类:编程文档

  1. AD-4401中文说明书

    0下载:
  2. AD-4401中文说明书
  3. 所属分类:文档资料

    • 发布日期:2011-12-12
    • 文件大小:29696
    • 提供者:LLDDHH12345678
  1. DSP2812 AD双序列采样(实现两路信号同时采样)

    0下载:
  2. DSP2812 AD通道的双序列采样(两路信号同时采样)
  3. 所属分类:源码下载

    • 发布日期:2012-01-11
    • 文件大小:164862
    • 提供者:llfwcf
  1. C8051F330 AD转换中的单端方式制作数字电压表

    0下载:
  2. 本程序是基于C8051F330,AD转换中的单端方式制作数字电压表。,This procedure is based on the C8051F330, AD conversion of the single-ended digital voltage meter manner.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-01-26
    • 文件大小:4285
    • 提供者:caitou
  1. ATMEGA16的内部AD采样单元使用源码

    0下载:
  2. ATMEGA16的内部AD采样单元使用源码,ATmega16 internal AD sampling unit using the source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:10029
    • 提供者:wei
  1. AD.rar

    1下载:
  2. PIC16F886实物通过测试的AD转换代码+proteus仿真文件!,PIC16F886 kind of AD converter to pass the test code+ Proteus simulation files!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:78548
    • 提供者:胡贝
  1. Lab0305-AD.rar

    0下载:
  2. 5509A片内AD的使用完整工程,是双通道采样。,5509a_AD
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:77069
    • 提供者:sandor
  1. ad-timer.rar

    0下载:
  2. AD采样 定时器使用 定时器控制AD采样频率,AD sampling timer using the timer control AD sampling frequency
  3. 所属分类:DSP program

    • 发布日期:2017-03-22
    • 文件大小:149383
    • 提供者:chenkexun2008
  1. ad.rar

    0下载:
  2. 2812中AD采样程序 对于大家用软件启动AD采样的很有好处,it,s good,it,s good,it,s good,it,s good
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:337285
    • 提供者:cf09m
  1. 用stc12c5410ad编写的AD转换程序

    0下载:
  2. 用stc12c5410ad编写的AD转换程序,Stc12c5410ad prepared by AD conversion
  3. 所属分类:文件格式

    • 发布日期:2017-03-22
    • 文件大小:14150
    • 提供者:孙文常
  1. 高速AD转换芯片TLC5510中文资料

    0下载:
  2. 高速AD转换芯片,TLC5510中文资料,里面有详细的电路设计和芯片功能介绍,,AD conversion high-speed chips, TLC5510 Chinese data, there are detailed circuit design and chip function, the
  3. 所属分类:技术管理

    • 发布日期:2016-01-25
    • 文件大小:678214
    • 提供者:
  1. AD

    0下载:
  2. c8051f330 例程 AD转换例程 silicon laboratories IDE-c8051f330
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:21206
    • 提供者:Eric.Wu
  1. AD-ATMEGA48

    0下载:
  2. AVR ATMEGA48V 的AD采集程序已经调试成功-AVR ATMEGA48V AD PROGRAMER
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:1069
    • 提供者:孟国防
  1. AD

    0下载:
  2. DSP AD 采样源代码 复位芯片 AC02 & 8251 for A/D,D/A, 初始化 两个A/D、D/A芯片 TLC320AC02 采用两个中断-DSP AD sample source code reset chip AC02 & 8251 for A/D, D/A, to initialize the two A/D, D/A chip using two interrupted TLC320AC02
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:2095
    • 提供者:yugeliang
  1. holtek-ad

    0下载:
  2. 这是一个基于HTR232芯片AD转换的示例程序,经连接目标板测试可以正常工作-This is an AD converter based on HTR232 chip sample program, connect to the target board via the test can work
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:564
    • 提供者:张坤
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com