CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ALU verilog实现

搜索资源列表

  1. ALU

    0下载:
  2. 用VERILOG实现ALU,实现各种算术运算,逻辑运算,移位运算等
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1725616
    • 提供者:刘自强
  1. verilog实现ALU的源代码

    0下载:
  2. verilog实现ALU的源代码,并提供了一个详细的测试平台!-achieve ALU Verilog source code, and provide a detailed test platform!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1382
    • 提供者:飞扬
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. alu

    0下载:
  2. 用Verilog编写的简单的运算单元(ALU),可实现加、减、与、或、异或、非、左、右移等功能-Verilog prepared with simple arithmetic unit (ALU), can be add, subtract, and, or, exclusive-OR, non-, left, and other functions shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:191474
    • 提供者:wangzhen
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181 with Verilog HDL hardware desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:667999
    • 提供者:623902748
  1. alu

    0下载:
  2. 加法器FPGA 实现,精简,快速,高效,有仿真文件-adder base on FPGA ,verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:546
    • 提供者:lijiaming
  1. ALUALUcontrol

    0下载:
  2. 实现32位的ALU,使其能够支持基本的指令。用Verilog HDL语言或VHDL语言来编写,实现ALU及ALU控制器。 -To achieve 32-bit ALU, so that it can support the basic directives. With the Verilog HDL language or VHDL language to write, implement ALU and the ALU controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1060421
    • 提供者:于伟
  1. ALU

    0下载:
  2. 算术逻辑部件的verilog代码,它能够实现半加器、全加器、比较、按位与、按位或、按位异或、加一、减一的操作-Arithmetic logic unit of the verilog code, it can achieve half adder, full adder, compare, bitwise and, bitwise or, bitwise xor, plus one, minus one operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:169824
    • 提供者:李鹏飞
  1. 74181ALU

    0下载:
  2. alu功能。实现计算机的数字运算。运用的是74181芯片-alu function. The number of computer-based operations. Use the 74181 chip. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:586
    • 提供者:刘墉
  1. ALU

    0下载:
  2. verilog硬件仿真,实现32-bit RISC微处理器的算数逻辑单仿真元(ALU),实现加减运算、逻辑运算、移位运算。仿真级别为RTL级。-verilog hardware simulation, to achieve 32-bit RISC microprocessor arithmetic logic one simulation element (ALU), to achieve addition and subtraction operations, logic operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2912
    • 提供者:
  1. MIPS-ARM-ALU

    0下载:
  2. 用verilog描述语言实现的MIPS和ARM的ALU程序。-Verilog descr iption language with the MIPS and ARM ALU program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2529642
    • 提供者:
  1. final-project

    0下载:
  2. Verilog 的Branch和Jump指令的实现 添加了MUX和额外的ALU-Verilog Branch and Jump instructions achieve add the MUX and additional ALU
  3. 所属分类:Other windows programs

    • 发布日期:
    • 文件大小:435112
    • 提供者:Xin wang
  1. ALU

    0下载:
  2. 计算机ALU的verilog设计,能够实现加减与或运算-Computer ALU verilog design can add and subtract with or computing
  3. 所属分类:software engineering

    • 发布日期:2017-11-18
    • 文件大小:2347
    • 提供者:hello
  1. alu

    0下载:
  2. alu,利用verilog实现+、-、*、 、移位等功能-alu achieve+,-,*, , shift functions
  3. 所属分类:其他小程序

    • 发布日期:2017-11-06
    • 文件大小:704
    • 提供者:孙思宇
  1. alu

    0下载:
  2. 32位alu模块实现加减法、逻辑运算、移位、比较和置高位立即数等功能。verilog实现。-32-bit alu module achieves functions like addition and subtraction, logical operations, shift, compare, and set a high immediate number by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:906806
    • 提供者:sherlydunn
  1. ALU

    0下载:
  2. Verilog编写的ALU,可实现数学、移位、逻辑运算-ALU Verilog prepared, enabling mathematics, shift, logical operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1378
    • 提供者:
  1. aa

    0下载:
  2. Verilog实现运算器ALU的编程,加减(16位)乘除(16*16,32/16)-Verilog to achieve calculator ALU programming, and (16) and (16*16, 32/16)
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-30
    • 文件大小:12986
    • 提供者:arvin
  1. ALU

    0下载:
  2. 算术逻辑单元,可以实现加法、减法、比较、移位、与门、或门等功能(arithmetic and logic unit)
  3. 所属分类:VHDL/FPGA/Verilog

  1. project_copy3

    0下载:
  2. 利用verilog实现的alu代码,可以进行加减移位等操作(Using Verilog to achieve Alu code, you can add, delete, shift and other operations)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:MaybeSilent
  1. 各种基础module打包下载全集

    0下载:
  2. 例如分频器,alu,ram的verilog实现(The implementation of divider, alu, ram etc. in verilog)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 »
搜珍网 www.dssz.com