CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Array-Antenna

搜索资源列表

  1. 7_directional-antenna-mac-protocol-_dpagarwal_dam

    0下载:
  2. directional dynamic source routing
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:488586
    • 提供者:sheetal
  1. Two-null-placement

    0下载:
  2. two null placement(array antenna)
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:298006
    • 提供者:redmohali
  1. m

    0下载:
  2. 线阵天线方向图仿真M文件,七元线形天线阵-Linear array antenna directed graph simulation M files
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:8939
    • 提供者:jason
  1. circ_array

    0下载:
  2. 雷达天线————圆形阵列边界的矩形网格天线增益方向图- a rectangular grid array antenna gain pattern of Circular boundary
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2360
    • 提供者:张明轩
  1. antenna

    0下载:
  2. array of wireless antenna
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-23
    • 文件大小:231503
    • 提供者:wasim
  1. Chapter-06

    0下载:
  2. Array Antenna simulation using Matlab
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:21463
    • 提供者:sreaxo
  1. correlation

    0下载:
  2. 二元天线阵在矩形巷道中接收信号的相关性的matlab仿真-Element antenna array in a rectangular tunnel in the received signal correlation matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:760
    • 提供者:Liu Fengxue
  1. ant_2_v2

    0下载:
  2. 阵列天线中方向图波束,方向性系数,半功率波瓣宽度等相关性能参数的计算仿真-Beam array antenna pattern, directivity, half power beam width and other related performance parameters of computer simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:750
    • 提供者:hxn
  1. lunwenfig3_10a

    3下载:
  2. 这为一个相控阵列天线的波束形成与指向控制的MATLAB仿真软件-This is a phased array antenna beam forming and pointing control of the MATLAB simulation software
  3. 所属分类:matlab例程

    • 发布日期:2014-01-13
    • 文件大小:1323
    • 提供者:zgb
  1. f_ant2rm

    0下载:
  2. 用来获取天线 array factor 参数-get the array factor from the Antenna
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:2999
    • 提供者:Nie
  1. PSO-in-synthesis

    0下载:
  2. 介绍了粒子群算法的原理和流程,研究了 如何将这种方法运用于天线阵的方向目综合上,给出了PSo算法在综合阵列方向图 的应用实例-this paper introduces a conceptual overview and detailed explanation of the PSO algorithm,as well as how it can be used for antenna array design,and presents several resuIts optimized
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:358167
    • 提供者:swizon
  1. swrc144a

    0下载:
  2. 用于对阵列天线进行仿真的matlab工具包-the toolbox of the matlab for phase array antenna
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:358053
    • 提供者:任建
  1. phasean

    2下载:
  2. 对一维线相控阵和二维平面相控阵天线用matlab仿真出来,展示相控阵的方向改变能力和多波束形成能力。-One dimensional linear phased array and two-dimensional phased array antenna with matlab simulation, show the change of direction of the phased array and multi-beam forming ability.
  3. 所属分类:matlab例程

    • 发布日期:2014-01-13
    • 文件大小:556
    • 提供者:赵洪宇
  1. problem-1

    0下载:
  2. assignmant for array antenna
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:716037
    • 提供者:hatem elsayed
  1. test-clutter

    0下载:
  2. 该代码可生成阵列天线的发生天线方向图,以及列子阵接受图。-The code can generate array antenna transmitting map and also the receiving map of a Column.
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:1038
    • 提供者:晏艺翡
  1. P_Matlab

    1下载:
  2. 此列为求0阶到9阶贝塞尔函数的根。在泰勒综合时可以很容易的为后续计算做好准备。另附有波导缝隙阵天线的论文。-This as a request to the root of the order 0 to 9 order Bessel function. Can be easily integrated in Taylor to prepare for the subsequent calculations. Attached there is the thesis of the waveguid
  3. 所属分类:source in ebook

    • 发布日期:2017-05-26
    • 文件大小:9673590
    • 提供者:Jack
  1. stap

    1下载:
  2. 利用空间采用数据和慢时间数据采用空时二维处理是阵列天线雷达常用的处理方法。该程序仿真了基本的stap方法-Use of space data and slow-time data using space-time two-dimensional processing array antenna radar approach. The program simulates basic stap methods
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:7174
    • 提供者:毛建
  1. rectangle-plane-antenna-array

    0下载:
  2. 运用遗传算法对不等幅不等距矩型平面阵列的最大相对旁瓣电平进行了优化 , 通过提出新的 自适应 变异算子改进了算法的收敛性能 , 良好的计算结果表明遗传算法是 目前求解此类问题的有效方法-Genetic algorithms applied to unequal amplitude equidistant rectangular planar array, the maximum relative sidelobe level is optimized by presenting a
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-28
    • 文件大小:234894
    • 提供者:侯杰
  1. ultra-low-sidelobe-pattern

    0下载:
  2. 基于对标准遗传算法中收敛依赖于初始群体选择的困难所作的分析,提出交替使用两种遗传繁殖操作 产生后代群体以摆脱收敛对初始群体选择的依赖. 对于超低副瓣线阵天线的方向图综合问题,建立了改进的遗传算法 优化模型.计算实例说明改进后的遗传算法其收敛不依赖于初始群体的选择,具有实际应用前景. -Difficulties by the analysis of convergence depends on the initial group selected based on the standa
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-05
    • 文件大小:124658
    • 提供者:侯杰
  1. zm1

    0下载:
  2. 雷达阵列天线的天线图,学习阵列信号处理可能会用得上-Radar array antenna of the antenna diagram, learning array signal processing may need them
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:658
    • 提供者:我打个
« 1 2 3 4 5 67 8 9 10 11 ... 45 »
搜珍网 www.dssz.com