CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CIC

搜索资源列表

  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:791
    • 提供者:王刚
  1. cic_intp_64_four

    0下载:
  2. 4阶CIC内插滤波器,内插系数64,Verilog版本,数字下变频-4-order interpolating CIC filter interpolation factor of 64, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:652
    • 提供者:王刚
  1. hdlsrc_new

    0下载:
  2. CIC滤波器实现,级联FIR,节省资源-CIC filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5625
    • 提供者:张贼妥
  1. Untitled

    0下载:
  2. cic抽取滤波器的matlab软件仿真实现的源代码-cic decimation filter implementation matlab source code for software simulation
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:680
    • 提供者:曹欣
  1. cic

    0下载:
  2. 有关于cic抽取滤波器的FPGA分析研究和实现-Decimation filter on the FPGA cic Analysis and Implementation
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:246762
    • 提供者:曹欣
  1. 49636992ciccomp

    1下载:
  2. 级联积分-梳状(Cascaded Integrator–Comb,CIC)滤波器,是种高 效滤波器。它是一种线形相位FIR滤波器,由工作在高抽样率的级联理 想积分器和低抽样率的级联微分器组成,根据抗混叠和抗镜像的指标 确定所需的级联数目。 -Cascaded integrator- comb (Cascaded Integrator-Comb, CIC) filter, is the kind of high efficiency filter. It is a linear p
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2302
    • 提供者:刘哲
  1. pcicdecimate

    0下载:
  2. pipelined cic filter matlab source code-pipelined cic filter matlab source code
  3. 所属分类:3G develop

    • 发布日期:2017-04-01
    • 文件大小:522
    • 提供者:liuzhaohui
  1. cic

    0下载:
  2. 抽取滤波的Verilog实现,经测试可用-Decimation filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:829
    • 提供者:anderson
  1. FIR

    1下载:
  2. 详细介绍了窄带滤波器的设计,如半带,CIC等原理,原理很详细-Details of the narrow-band filter design, such as half-band, CIC and other principles, the principle in detail
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:656420
    • 提供者:eric
  1. CIC_filter

    0下载:
  2. CIC滤波器的原理及FPGA实现 里面有我收集的各种关于CIC滤波器的FPGA 实现的文章及源码-CIC filter FPGA realization of the principle and there are a variety of my collection on the CIC filter FPGA implementation and the source article
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1709190
    • 提供者:应清
  1. cic_interp_8_three

    0下载:
  2. cic_interp_8_three,是产生三级cic差值滤波器-cic_interp_8_three, the difference is to produce three cic filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:707
    • 提供者:笙箫
  1. CIC32

    0下载:
  2. cic滤波器,没有用ip核,用vhdl语言写的32倍抽取,4阶,经过验证-cic filter, did not use ip core, the language used to write 32 times vhdl extract, 4 bands, proven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1901
    • 提供者:孙文
  1. CICdesign

    0下载:
  2. CIC滤波去设计,很好的例子,修改参数可以应用到很多的设计当中-CIC filter design, very good example, parameter changes can be applied to many design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:907
    • 提供者:方金辉
  1. NCO-CIC

    0下载:
  2. 是CIC滤波器的一部分,是积分部分,可以实现3倍抽取。NCO-Is part of the CIC filter is an integral part, can achieve three times the extract.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1495
    • 提供者:欧熊平
  1. CIC-NCO-HB-FIR

    1下载:
  2. 数字下变频的论文,包含各个模块的设计,其中有CIC,HB,FIR,NCO等模块和源代码。-Digital down conversion papers, including the design of each module, including CIC, HB, FIR, NCO, modules and source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-15
    • 文件大小:4076544
    • 提供者:欧熊平
  1. CIC

    0下载:
  2. 积累积分梳妆滤波器(CIC)滤波器的性能参数分析与设计,总结CIC滤波器影响的参数有哪些,怎样设计。-cascaded comb filter s design and how to design
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:49571
    • 提供者:huang xuejiao
  1. cic

    1下载:
  2. cic滤波器matlab和fpga实现 软件无线电中-cic filtering matlab fpga software radio
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:557039
    • 提供者:蒋攀
  1. CIC-FILTER

    0下载:
  2. 有关级联积分梳状滤波器的硬件描述语言,用VHDL编写,共有五级-CIC FILTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:822
    • 提供者:周春浩
  1. cic.verilog

    0下载:
  2. 3阶的32倍抽取cic滤波器verilog代码-Level 3, 32 times the extraction of cic filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:934
    • 提供者:
  1. CIC-filter-design

    0下载:
  2. CIC滤波器的设计,实验中用到的所有完整的工程文件在test5文件夹下。 (1)一级CIC滤波器的设计,完整的工程文件包含: cic_only.mdl ma_only_standard.mdl (2)多级CIC滤波器的设计,完整的工程文件包含: cic_5th_order_pipe1.mdl cic_5th_order_pipe2.mdl fixed_point_cic.mdl (3)CIC插值和抽取滤波器的设计,完整的工程文件包含: cic
  3. 所属分类:DSP program

    • 发布日期:2017-03-26
    • 文件大小:126171
    • 提供者:
« 1 2 3 4 56 7 8 9 10 ... 15 »
搜珍网 www.dssz.com