CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - D-S

搜索资源列表

  1. F.L.U.D.D

    0下载:
  2. Mario s water gun as a .3ds file
  3. 所属分类:3D Graphic

    • 发布日期:2017-04-07
    • 文件大小:35936
    • 提供者:Leon
  1. USART_DMA_Basic

    0下载:
  2. stm32 串口通讯使用DMA的例子。使用了ST公司的固件库2.0,mdk-stm32 serial communication using DMA example. Using ST' s Firmware Library 2.0
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:1125421
    • 提供者:王国
  1. LDPC

    0下载:
  2. 这是关于LDPC信道编码模块设计的程序 打开源程序,先运行gengrate_h.m程序,陆续将码长设置为756bit,列重设置为3,行重设置为9。在Workspace中同时将H、A、B、C、D、E、Hget、Fget、g、Tget这是个变量选择另存为encode_in.mat 格式。再运行main_encode.m进行编码,主程序运行后,在当前目录下,自动生成编码结果文件“encode—out.mat”,这将作为下一次扩频调制仿真实验的的输入信号。最后分别查看Workspace中的变量s
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-25
    • 文件大小:14993
    • 提供者:吴健
  1. c8

    0下载:
  2. D.S.MALIK 《data structures using c++>> chapter 08 ,source code ,binary tr-DSMALIK " data structures using c++> > chapter 08, source code, binary tree
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:9260
    • 提供者:duyao
  1. s

    0下载:
  2. Ce droit de regard sur ses propres données personnelles vise aussi bien la collecte des informations que leur utilisation. Ce droit d’être informé est essentiel car il conditionne l exercice des autres droits tels que le droit d accès ou le droit d o
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:212897
    • 提供者:brahmia djaber
  1. Digital-Thermometer-with-clock-

    0下载:
  2. 带时钟的数字温度计的设计与制作,通过时钟芯片D S 1 3 0 2 、数字温度传感器 D S 1 8 B 2 0 将时间和温度信号送给单片机8 9 E 5 6 4 R D 处理,然后驱动字符型液晶显示模块JHD162A 将其显示出来-Digital Thermometer with clock design and production, through the clock chip DS 1 3 0 2, digital temperature sensor DS 1 8 B 2 0 to
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:193607
    • 提供者:jack
  1. modified-stfd_esprit

    0下载:
  2. 提 出了基于修正空间 时频分布( S TF D) 矩阵 的 ES P RI T算法 以实现 对宽 带线性调 频信号 的到达 角估计-Th e a l g or i t h m f o r di r e c t i o n- o f- a r r i va l o f t he wi d e ba n d c hi r p s i gna l s ba s e d 0 1 3 .ESPRI T u s i n g t he mo di f i
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-24
    • 文件大小:145254
    • 提供者:fjp119
  1. ds

    1下载:
  2. 本文件是用matlab来实现的D-S证据理论代码。可以进行DS证据理论的推到验证-This document is achieved by matlab code DS evidence theory. DS evidence theory can be pushed to the validation
  3. 所属分类:matlab

    • 发布日期:2015-11-02
    • 文件大小:1024
    • 提供者:
  1. fault-line-detection

    0下载:
  2. 本文为基于D-S证据理论的配电网故障选线,使用matlab仿真,结果可信-D-S theory based fault line detection,the simulation is proved right
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:342923
    • 提供者:xiaoya
  1. d

    0下载:
  2. zigbee cc2430 定时器操作,0.5s灯闪烁,0.5灯灭掉-Zigbee cc2430 in fixed time machine operation, 0.5 s the light gleam, 0.5 s lights destroy
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:21163
    • 提供者:guoguodian
  1. TetrisX

    0下载:
  2. 由最原始的俄罗斯方块改进来的,支持双打。Player1: 左、右、下移动光标键: 可移动下落的方块 空格、回车分别按顺时针和逆时针方向旋转下落的方块 Player2: A、D、S: 可移动下落的方块 Q、W: 分别按顺时针和逆时针方向旋转下落的方块 Esc: 暂停/继续 F10: 开始新游戏 其它操作可通过选择菜单来实现。 -By the most improvement to the original Tetris, and support fo
  3. 所属分类:Other Riddle games

    • 发布日期:2017-03-29
    • 文件大小:385393
    • 提供者:vincet yang
  1. Truly-RNG-Based-On-a-D-Scroll-Attractor

    0下载:
  2. Abstract—In this paper, a novel true random bit generator (TRBG) based on a double-scroll attractor is proposed. The double-scroll attractor is obtained from a simple model which is qualitatively similar to Chua’s circuit. In order to face the
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1492143
    • 提供者:Rabie
  1. NFA-to-DFA

    0下载:
  2. Theory: NDFA: It is a mathematical model containing 5 tuples a) Q- finite non empty set elements of which are called as state. b) T- set of alphabets. c) δ- is a mapping function Q*{T {λ}}*2Q d) S-start state i.e. S ε Q e) F- F (su
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:1100
    • 提供者:Merwyn
  1. program

    0下载:
  2. my file contain programns on D ,S-R,T, and counter programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:14650
    • 提供者:saravana
  1. multipliers

    0下载:
  2. my file contain programns on D ,S-R,T, and counter programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:40099
    • 提供者:saravana
  1. callcenter-doc

    0下载:
  2. 医疗行业呼叫中心大概方案,:400电话接入,电话号码捆绑的是300b+d的电话号码; 2:利用30b+d的线路实现咨询电话的直接接入和呼转。 这两个流程是分开的系统,不建立在一起。一个是400电话预约,然后通过IVR实现系统的直接呼转。一期先接入一路30b+d的线路,随着电话的增多增加设备。 -Medical industry call center solutions: probably, 400 telephone access, phone number is bound to
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:4104
    • 提供者:1
  1. Data-Compression---The-Complete-Reference-by-D.-S

    0下载:
  2. Complete ebook of Data Compression by David Saloman
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-17
    • 文件大小:4683733
    • 提供者:Nishant
  1. Data_Structures_Using_Cplusplus_Pub2009_Edition2.

    0下载:
  2. Now in its second edition, D.S. Malik brings his proven approach to C++ programming to the CS2 course. Clearly written with the student in mind, this text focuses on Data Structures and includes advanced topics in C++ such as Linked Lists and the St
  3. 所属分类:STL

    • 发布日期:2017-05-15
    • 文件大小:3600813
    • 提供者:朴贤哲
  1. D-Processor

    1下载:
  2. 该电路原理图为TI公司MSC1210开发板原理图,非常实用。-The circuit schematic picture shows the TI s MSC1210 development board schematics, very practical.
  3. 所属分类:software engineering

    • 发布日期:2014-11-07
    • 文件大小:57344
    • 提供者:发呆呆
  1. Project

    0下载:
  2. 单片机的基础是试验,此主要是对A/D的简单应用-Single-chip microcomputer is the foundation of the test, this is mainly to the A/D s simple application
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3384
    • 提供者:薛志坤
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com