CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DDS xilinx

搜索资源列表

  1. ISE_lab17

    0下载:
  2. 本实验使用 XILINX 提供的IP 核,并例化该IP 核来实现正弦信号发生器的功能。由于 ISE 中有DDS(Direct Digital Synthesizer 5.0)IP 核,因此只需要编写一个顶层文件来调用 Core Generator 生成的IP 即可。-This study provides the IP core using the XILINX, and cases of the IP core to achieve the sinusoidal signal gene
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4375
    • 提供者:
  1. CORDIC_SINE

    0下载:
  2. xilinx的ISE工程,用CORDIC算法做DDS生成正弦波-xilinx the ISE project to do with the CORDIC algorithm generates sine DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14447447
    • 提供者:刘伟
  1. DDS

    0下载:
  2. 《DDS原理简介(中文)》DDS即直接数字频率合成器,原理及系统设计实现- DDS Principle Introduction (Chinese) DDS direct digital frequency synthesizer, the principle and system design to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:454936
    • 提供者:范田田
  1. dds

    0下载:
  2. 关于FPGA中DDS核参数设置的资料,英文版的XILINX资料-DDS on the FPGA in the data set of nuclear parameters, the English version of XILINX information
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1663597
    • 提供者:李晶
  1. DDS

    0下载:
  2. A simple VHDL implementation of a DDS on Xilinx Spartan 3E Starter Kit development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:107737
    • 提供者:Iepu
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. ps2_key_dds_50M

    0下载:
  2. 利用xilinx开发板,使用嵌入式系统,编写的ps2键盘和利用dds原理产生正弦波的程序-Using xilinx development board, the use of embedded systems, the preparation of the ps2 keyboard and use the procedures dds elements of the sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26281382
    • 提供者:管叙民
  1. DDS

    0下载:
  2. basys 2实现DDS,外接dac0832,实现dds模块,基于xilinx的ide-basys 2 to achieve DDS, external dac0832, achieve dds module, based on the ide xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4772
    • 提供者:
  1. dds

    0下载:
  2. xilinx公司的ddsip核的规格和使用说明,能实现大多数波形的产生。-dds ip core of xilinx company.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1663592
    • 提供者:lucun
  1. 5-15

    0下载:
  2. DDS的实现,在XILINX的FPGA验证通过。使用ROM实现的。-DDS implementations, in XILINX FPGA verification by. Using ROM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:8628
    • 提供者:wh
  1. DDS

    1下载:
  2. 直接数字合成(DSS)的matlab仿真,采用simulink和Xilinx的system generator工具开发-simulink for DSS, the development tool is the system generator by Xilinx and simulink
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:63822
    • 提供者:ye wenbin
  1. Codes-and-Reports

    0下载:
  2. Verilog Source code for arbitrary waveform generator- simple DDS algorithm codes run on Xilinx Spartan-3E fpga to show output on dac pin. Please see the included report. its really simple to implement. all source code is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10628885
    • 提供者:imranity
  1. dds_key-feature

    0下载:
  2. dds key feature in this file i explain key feature of dds xilinx core.
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:24885
    • 提供者:hlp1
  1. dds

    0下载:
  2. dds xilinx ip core for using
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1805568
    • 提供者:hlp1
  1. DDS

    2下载:
  2. 附件包括1.基于FPGA实现DDS正弦波产生2.对应程设计说明一份3.重要说明一份。使用的软件平台为ISE13.3,硬件平台为Xilinx公司的V4板子。-DDS generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-21
    • 文件大小:5718016
    • 提供者:zhulinglei
  1. DA1RefComp

    0下载:
  2. 基于xilinx开发环境 adc7303驱动程序,源代码以vhdl描述,实现数字信号到模拟信号的转换。可用于dds的波形输出。-The driver of adc7303 chip by using vhdl.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2609
    • 提供者:姜宏剑
  1. DDS

    0下载:
  2. DDS函数信号发生器,这是我在xilinx平台上实现的,可以产生不同频率,不同函数形式的函数信号。如三角波,方波等-DDS function generator, this is my on xilinx platform, can produce the function of different frequency signals.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6134129
    • 提供者:xu
  1. ZHWX

    0下载:
  2. DDS 产生正弦信号,OOK,AM三种波形。 使用xilinx FPGA VHDL-DDS. Resulting in sinusoidal signal, OOK, AM three waveforms. Using xilinx FPGA VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2836127
    • 提供者:张文轩
  1. dds

    0下载:
  2. dds算法,调用xilinx IP ,ise(DDS algorithm, call Xilinx IP, ISE)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:5728256
    • 提供者:阿士大夫
  1. xilinx dds上板实现全代码

    0下载:
  2. 基于fpga得dds设计,完整教程请移步csdn https://blog.csdn.net/syyzuiqiang?spm=1000.2115.3001.5343
  3. 所属分类:书籍源码

搜珍网 www.dssz.com