CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Frequency Counter in Verilog

搜索资源列表

  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. counter

    0下载:
  2. 用Verilog HDL语言实现FPGA的频率等精度测量。(已经过验证)-Using Verilog HDL language, such as FPGA frequency measurement accuracy. (Has already been verified)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:2582892
    • 提供者:double
  1. counter

    0下载:
  2. verilog写的频率计程序的计数模块,-Verilog written procedures for counting frequency meter module,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:145780
    • 提供者:chen
  1. Verilog--shiyanbaogao

    0下载:
  2. 有实验结果,用MOSIN6编写的,是Verilog HDL语言实现的. 练习三 利用条件语句实现计数分频时序电路 实验目的: 1. 掌握条件语句在简单时序模块设计中的使用; 2. 学习在Verilog模块中应用计数器; 3. 学习测试模块的编写、综合和不同层次的仿真。 练习四 阻塞赋值与非阻塞赋值的区别 实验目的: 1. 通过实验,掌握阻塞赋值与非阻塞赋值的概念和区别; 2. 了解阻塞赋值与非阻塞赋值的不同使用场合; 3. 学习测试模块的编写、综合和不同层
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:15937
    • 提供者:盼盼
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. Cymometer

    2下载:
  2. Verilog 编写的频率计,使用8位LED作为显示,Quartus II 6.0的工程文件。保证好用,EPM240T的芯片。使用了66 的资源。-Written in Verilog frequency counter, using 8-bit LED as the display, Quartus II 6.0 of the project file. To ensure easy to use, EPM240T chips. 66 of the resources used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:585557
    • 提供者:石头
  1. quaddecoder_verilog_ise11.2_used_09042010

    0下载:
  2. Two simple Quadrature decoder and Counter build in a XILINX XC9536 CPLD. This Core is coded in Verilog and contains the compete Project file and the fitted quad.jed File. The Pinout is descr ipted in the Constrained file quad.ucf. To use them, y
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:71045
    • 提供者:JUPP
  1. counter

    0下载:
  2. 用verilog写的计数器,可用于分频等多种功能。已经调试成功很好用-Written with verilog counter, can be used for frequency and other functions. Has been very good success with debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2398
    • 提供者:tangxiaolei
  1. digital-frequency

    0下载:
  2. 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1265776
    • 提供者:multidecoder
  1. plj_book

    0下载:
  2. EDA,verilog 语言写的频率计,一个是测频,一个是产生一定的频率作为信号源,可在cycloneII 上验证,-EDA, verilog language written in frequency counter, one frequency measurement, one is a certain frequency as the signal source can be verified on the cycloneII, thank you! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:652288
    • 提供者:谷向前
  1. Frequency

    0下载:
  2. 频率计,用verilog编写。语言简洁易懂。-Frequency counter, written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1628199
    • 提供者:王赢之
  1. frequency-counter

    1下载:
  2. 这是用verilog写的配合DE2 FPGA开发板的10进制显示频率计的工程文件夹的压缩包,解压后可直接下载到DE2板上,其中频率输入端是系统自带27M时钟D13用于测试,如果想要应用于别的开发板,可以重新分配引脚。-DE2 FPGA development board with with verilog write with decimal display frequency meter project folder compression package, after decompressi
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2014-06-29
    • 文件大小:615424
    • 提供者:予烨
  1. Freq_counter_ise12migration

    0下载:
  2. 用verilog实现的一个频率计数器,可分别在不同的频率下计数(自己设定),里面有几个有用的小模块,分频,计数,显示,同步,进位等-Verilog to achieve a frequency counter, respectively, in different frequency count (set), there are several useful modules, divide, count, display, synchronization, binary, etc.
  3. 所属分类:Communication

    • 发布日期:2017-11-16
    • 文件大小:88248
    • 提供者:曾俊
  1. freq_cnt

    0下载:
  2. Frequency Counter in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1483
    • 提供者:juan
  1. frequency-meter---DEII

    0下载:
  2. verilog写的频率计 ,在数码管上显示10进制输入数字信号的频率。已在DEII上验证- verilog write frequency counter, decimal display frequency of the input digital signal in the digital tube. Verified on DEII
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:271948
    • 提供者:孔沛瑶
  1. Frequency-divider

    0下载:
  2. 利用Verilog设计的在停车场情况下的模拟的分频器和计数器的代码-The use of Verilog design in the parking lot in case of analog frequency divider and counter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:10221
    • 提供者:陆晓忆
搜珍网 www.dssz.com