CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - IEEE

搜索资源列表

  1. IEEE 802.11 模型的源码

    1下载:
  2. 基于IEEE 802.11的MATLAB源码,里面有详细的仿真和指导
  3. 所属分类:源码下载

  1. 2012年6月29日发布SCI收录的IEEE期刊影响因子排名

    0下载:
  2. 2012年6月29日发布SCI收录的IEEE期刊影响因子排名
  3. 所属分类:其它资源

  1. IEEE_754.IEEE STD 754 的格式说明和算法的C语言实现

    0下载:
  2. IEEE STD 754 的格式说明和算法的C语言实现,IEEE STD 754 and the format of the C language algorithm
  3. 所属分类:编程文档

    • 发布日期:2015-04-11
    • 文件大小:54815
    • 提供者:sr0303
  1. P802.11n-D2.0.ieee 802.11n-D2.0协议英文原版资料

    0下载:
  2. ieee 802.11n-D2.0协议英文原版资料,ieee 802.11n-D2.0 protocol
  3. 所属分类:文件格式

    • 发布日期:2017-04-09
    • 文件大小:2411345
    • 提供者:leon
  1. IEEE

    4下载:
  2. 关于IEEE-6、14 30节点系统很实用的,经过运行可靠MATLAB程序上的潮流计算-power flower
  3. 所属分类:matlab

    • 发布日期:2016-12-12
    • 文件大小:8192
    • 提供者:liuhuan
  1. IEEE

    0下载:
  2. IEEE检索的一些数字水印方面的文章,包括图像视频音频水印,涉及算法、协议等重要方面。-IEEE retrieval of some aspects of digital watermarking article, including images video audio watermarking, involving algorithms, protocol and other important aspects.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-28
    • 文件大小:11388948
    • 提供者:王奔
  1. IEEE-Std-1815-2010

    1下载:
  2. 国际最新DNP3标准,供开发DNP3通信软件参考。-IEEE Std 1815-2010 DNP3 latest international standards.
  3. 所属分类:File Formats

    • 发布日期:2017-05-14
    • 文件大小:4081438
    • 提供者:杨一
  1. ieee

    0下载:
  2. 国外几篇IEEE数字水印论文.rar 希望你们能喜欢,着很不错啊-IEEE Digital Watermarking several foreign papers. Rar hope you will like it, a very错啊
  3. 所属分类:Special Effects

    • 发布日期:2017-05-11
    • 文件大小:2706856
    • 提供者:肖文
  1. IEEE

    0下载:
  2. IEEE papers for wireless sensor network-IEEE papers for wireless sensor network
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:1002502
    • 提供者:atul
  1. IEEE.Standard.Verilog.Hardware.Description.Languag

    0下载:
  2. IEEE Standard Verilog Hardware Descr iption Language-IEEE Standard Verilog Hardware Descr iption Language(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2177879
    • 提供者:liukai
  1. IEEE-paper-format-summary

    0下载:
  2. 该文档详细说明了IEEE论文格式的各种要求,说明部分为中文,结尾富有IEEE英文范文一篇。-This document detail the IEEE paper format, explains the various requirements of the part to be Chinese, ending rich IEEE English essays an article
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:459776
    • 提供者:xiaozhu
  1. ieee-802.15.4a-channel-final-report

    0下载:
  2. IEEE 802.15.4a信道模型最权威的文档,包含实现该模型的matlab代码-IEEE 802.15.4a channel model,most authoritative documents, including matlab code
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:513970
    • 提供者:王准
  1. IEEE-802.11P-package

    0下载:
  2. IEEE 802.11p package for ns2.31
  3. 所属分类:Linux Network

    • 发布日期:2017-03-24
    • 文件大小:24951
    • 提供者:chadi
  1. IEEE

    1下载:
  2. ieee 标准测试系统原始数据--14 30 57 118节点-ieee standard test system, the raw data 14 30 57 118
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2229138
    • 提供者:胡坤
  1. Std.-C37.1-1994---IEEE

    0下载:
  2. IEEE Standard Definition, Specification, and Analysis of Systems Used for Supervisory Control, Data Acquisition, and Automatic Control
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:308334
    • 提供者:anand
  1. ieee-dotnet-2011-2012

    0下载:
  2. 2011 IEEE project titles on DOTNET
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:22260
    • 提供者:JP
  1. ieee-java-2011-2012

    0下载:
  2. IEEE 2011 JAVA Projects Titles with Descr iption
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:22704
    • 提供者:JP
  1. IEEE-802.16e

    4下载:
  2. IEEE 802.16e标准中LDPC的编码代码 快速编码 BP译码-the code about LDPC in the standard of IEEE 802.16e fasting code BP decode
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-15
    • 文件大小:4096
    • 提供者:ningmengcha
  1. IEEE-Std-1474.2-2003

    0下载:
  2. IEEE Std 1474.2-2003 IEEE Standard for User Interface Requirements in Communications-Based Train Control (CBTC) Systems(铁路行业标准)-IEEE Std 1474.2-2003 IEEE Standard for User Interface Requirements in Communications-Based Train Control (CBTC) Systems
  3. 所属分类:File Formats

    • 发布日期:2017-03-22
    • 文件大小:156240
    • 提供者:桂爱刚
  1. IEEE-Std-1474.4-2011

    0下载:
  2. IEEE Std 1474.4-2011 IEEE Recommended Practice for Functional Testing of a Communications-Based Train Control (CBTC) System(铁路行业标准)-IEEE Std 1474.4-2011 IEEE Recommended Practice for Functional Testing of a Communications-Based Train Control (CBTC) S
  3. 所属分类:File Formats

    • 发布日期:2017-03-22
    • 文件大小:409768
    • 提供者:桂爱刚
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com