CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LCD in vhdl

搜索资源列表

  1. 用EPM1270实现的1602液晶驱动Verilog

    0下载:
  2. 用EPM1270实现的1602液晶驱动Verilog,EPM1270 achieved by 1602 LCD driver Verilog
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:295919
    • 提供者:cx
  1. Spartan3E.rar

    0下载:
  2. Spartan3E的LCD字符滚动显示源程序,具体内容见注释,Scroll Spartan3E character LCD display the source code, see the specific contents of the Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:413586
    • 提供者:xwy
  1. 典型实例10.8 字符LCD接口的设计与实现

    0下载:
  2. 典型实例10.8 字符LCD接口的设计与实现 软件开发环境:ISE 7.1i 硬件开发环境:红色飓风II代-Xilinx版 1. 本实例控制开发板上面的LCD的显示; 2. 工程在\project文件夹里面 3. 源文件和管脚分配在\rtl文件夹里面 4. 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。,Typical examples of character LCD interface 10.8 The Des
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:313607
    • 提供者:王磊
  1. lcd_driver_4bit

    1下载:
  2. it is a 4-bit lcd driver written in verilog .it will work on spartan 3 xilini devices.
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:2616
    • 提供者:ali
  1. lcd

    0下载:
  2. 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:3734528
    • 提供者:第三方
  1. Lcd-12864

    0下载:
  2. 这是一个用ALTER公司FPGA控制外部128×64液晶的程序,很实用,希望大家下载!-This is a company with FPGA control ALTER external 128 × 64 LCD procedures, it is useful, I hope you download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2652487
    • 提供者:裴跃生
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. 10_LCD_GRAPH

    0下载:
  2. This is controler graphic LCD in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5289
    • 提供者:darek
  1. VHDL_1602

    0下载:
  2. 显示LCD,采用VHDL语言编写,基于1602的显示模块-Display LCD, using VHDL language, based on the 1602 display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1594739
    • 提供者:lk
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. camera_up

    0下载:
  2. Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:33011
    • 提供者:孙喆
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. LCD-hello

    0下载:
  2. VHDL syntax hello world for LCD written in VHDL MAXII evaluation board EPM1270F256C5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1265
    • 提供者:soroush
  1. lab3

    0下载:
  2. VHDL code for using LCD in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:46240
    • 提供者:Sara
  1. vga-connector_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:229356
    • 提供者:Sara
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. IO

    0下载:
  2. serial io for rs 232 communication
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:900249
    • 提供者:prakash
  1. LCD

    0下载:
  2. 用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。-Written in VHDL, a display procedure, hoping that would help everyone a favor on the Hei hei.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:464463
    • 提供者:shuwei
  1. UART

    0下载:
  2. A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:45537
    • 提供者:sandeep
  1. lcd

    0下载:
  2. 在和众达SEED-XDTK平台上,基于XC4Vsx25的液晶驱动程序。-In and Jones SEED-XDTK platform, based on XC4Vsx25 liquid crystal driver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:779759
    • 提供者:hechao
« 12 3 4 5 »
搜珍网 www.dssz.com