CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LEAp

搜索资源列表

  1. c_programmar

    0下载:
  2. c编译器源代码,让你真正了解c语言的本质,从而编程能力得到质的飞跃.-c compiler source code so that you can truly understand the essence c language, programming capacity qualitative leap.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:305618
    • 提供者:rymrymrym
  1. show-time

    0下载:
  2. 单片机并口实验,用于日历模拟,要考虑闰年和大月小月年月日并行运行,由于是模拟,设置每秒为一天。要安装keil_full和proteus 6.5sp5才可以运行(学单片机的应该懂,不用多说)-SCM parallel experiments, the calendar for the simulation, to consider a leap year and the date on the slow parallel operation, As the simulation is set up
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:72873
    • 提供者:乐乐
  1. hslk

    0下载:
  2. 此例以经典的算法实现了对日期的计算 包括闰年的计算-such cases to the classic algorithm for the calculation of the date of the calculation, including leap year
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:8785
    • 提供者:郝少林
  1. pangduanrunnian

    0下载:
  2. 活用汇编的功能,用汇编语言来判断某一年是否为闰年.-kind of a compilation of functions, using assembly language to judge whether a particular year as a leap year.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3847
    • 提供者:348752885
  1. panduanrunnian

    0下载:
  2. 这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份, 可输出是本年是否为闰年的提示信息。-This is a judgment of whether a particular year Runnian procedures, Ifleap.exe running executable, the importation of specific years, output this year is a leap year if the message.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1511
    • 提供者:xiaoqiang
  1. LI78

    0下载:
  2. 七、 输出Faibonacai数列的前20个数。 八、 从键盘输入一个年份,验证其是否为闰年。-7, the output Faibonacai series of 20 numbers. 8, a keyboard input from year to determine whether as a leap year.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1183
    • 提供者:tang
  1. Ifleap

    0下载:
  2. 这是用来判断在任意输入一个年份的时候是不是闰年。-This is used to judge the indiscriminate importation of a time of the year is not a leap year.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1038
    • 提供者:lppp
  1. filei

    0下载:
  2. 这是一个判断闰年的JAVA源代码程序,在这儿和大家一起分享其中的技巧。-this is a leap year judgment Java source code procedures, and here we share these skills.
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:973
    • 提供者:吴昊
  1. WebKS

    0下载:
  2. 用汇编语言写的汇编源程序,判断闰年,asm文件!-write assembly language source code compilation, judgment leap year, asm paper!
  3. 所属分类:状态条

    • 发布日期:2008-10-13
    • 文件大小:45247
    • 提供者:jiao
  1. year1

    0下载:
  2. 欢迎使用闰年查询程序,大家好啊!查询一下几时是闰年也是生活中的一种乐趣嘛-welcomed the use of procedures for leap year, we said! Inquiries about the earliest it is a leap year is a life of fun!
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:302744
    • 提供者:风云
  1. RunNian

    0下载:
  2. 这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份, 可输出是本年是否为闰年的提示信息。-This is a judgment of whether a particular year Runnian procedures, Ifleap.exe running executable, the importation of specific years, output this year is a leap year if the message.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1527
    • 提供者:詹庆锋
  1. ClassicGames

    0下载:
  2. C语言精华例题,读懂了这14个例题,你的C语言水平将有质的飞跃!所有代码均正确无误!-C language essence of excellence, understood that 14 of excellence, your C language level will be a qualitative leap! All of the code are correct!
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:59609
    • 提供者:wangxiao
  1. pdrn

    0下载:
  2. 判断当前是否是否闰年,VB写的小程序,刚刚进入这里,希望多多关照。-whether current judgment whether a leap year, the VB wrote small procedures, has just entered here, hoping to take care of them.
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:1850
    • 提供者:liujin
  1. java111111

    0下载:
  2. 计算闰年的java超强算法,提供的文件包含的内容和具体功能(-leap year calculation of java super algorithm, the document contains the specific content and function (
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:939
    • 提供者:张灯
  1. WAVEclock

    0下载:
  2. 自学C语言 就写了这么个小程序,但是不知道怎么实现闰年闰月,有请各位高人指点哈-self-study on the C language was so small procedures, but does not know how to achieve a leap year intercalary months. Members are urged Kazakhstan expert
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2214
    • 提供者:李刚
  1. osooncrm

    0下载:
  2. 主要特点: 1. 稳定高效的模板机制 OsoonCRM 采用PHP官方模板引擎Smarty,使得对于OsoonCRM 以前版本而言具有了 质的飞跃,并且作为PHP官方模板引擎,通过大量,广泛的实际应用,验证了其无与 伦比的稳定性和高效性,软件的模板与多语言正是基于这样的稳定和高效上。 2. 使用几乎所有的数据库 OsoonCRM 甲申版本采用业内优秀的数据库链接类库Adodb,并通过长期的测试, 能稳定的运行在MySQL,MS SQLServer,MS Acce
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:1102635
    • 提供者:王全贵
  1. 12354689

    0下载:
  2. 本书讲述了怎样跨越内存的禁区,修改游戏的数据!适合新手学习研究!-book about how memory leap in the restricted area and revised data! Suitable for novice study!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:32173
    • 提供者:裴可可
  1. leap20

    0下载:
  2. 输入:以十进制的形式读入要判断的年份,从键盘取得的一个十进制数转换为二进制数存入BX寄存器中,再将BX中的内容保存在变量year中。 判断:首先将year中的内容首先除以4,不能被4整除,则一定不是闰年,能被4整除的,需再将year中的内容除以100,不能被100整除的,则一定是闰年,能被100整除的,需再将year中的内容除以400,能被400整除的,则是闰年,不能被400整除的,则不是闰年。 输出:经判断后,是闰年的输出“This is a leap year!”,不是闰年的则输
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:80558
    • 提供者:zn
  1. r8025

    1下载:
  2. RTC8025时钟芯片驱动,可进行至阳历的下二位数和年月日星期时分秒为止的数据设定/计时/读取 阳历的下二位数为4 的倍数时可自动识别闰年且自动判别至2099 年-RTC8025 clock chip-driven, solar calendar can be carried on until the next two years and the median day of the week when accurate data set date / time / solar calenda
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1627
    • 提供者:ghz
  1. lihonggeng

    0下载:
  2. 这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份, 可输出是本年是否为闰年的提示信息。-This is a judgment of whether a particular year Runnian procedures, Ifleap.exe running executable, the importation of specific years, can be output this year is a leap year whether a m
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:15046
    • 提供者:毛慧华
« 1 2 3 45 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com