CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LT 28

搜索资源列表

  1. 用c编写的N*N的螺旋矩阵源代码

    0下载:
  2. /* 实现效果: 1 2 6 7 15 3 5 8 14 16 4 9 13 17 22 10 12 18 21 23 11 19 20 24 25 */ #include <stdio.h> #define N 5 //阶数,即N*N的螺旋矩阵 void main() {     int i, j, num=1, a[N][N];     for(i=0; i<=N/2; i++) &nb
  3. 所属分类:其他小程序

    • 发布日期:2008-05-05
    • 文件大小:4395
    • 提供者:good@588
  1. 28vc++

    1下载:
  2. 这28个vc++图像处理原程序来自 <>一书的光盘(部分) 包括:用vc++编写的图像处理基本程序,有阈值变换,图像滤波,图像旋转,hough变换等28个独立的程序,简单明确.-28 vc image processing from the original program
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:3607722
    • 提供者:黄静
  1. 企业人事薪资管理系统

    1下载:
  2. 本系统采用access+asp开发,系统要求:windows9X+pws win2000(或更高)+IIS、IE 4.0以上及相关打印设备 2、处于安全考虑,建议安装使用前,先修改数据库路径(默认为\"tcadmin\\tcmdb\")及数据库名称,然后修改文件tcconn.asp相应路径设置 3、本系统所有日期格式均为:yyyy-mm-dd,例如:2004-03-28.请按此格式输入日期,否则日期数据拒绝存入数据库 4、档案输出文件为word(*.doc)
  3. 所属分类:OA系统

    • 发布日期:2014-01-15
    • 文件大小:704255
    • 提供者:dengweian
  1. MAX7044.rar

    1下载:
  2. MAX7044是基于晶振PLL 的VHF/UHF发射器芯片,在300 MHz~450 MHz频率范围内发射OOK/ASK数据,数据速率达到100 kbps,输出功率+13 dBm(50Ω负载),电源电压+2.1~+3.6 V,电流消耗在2.7 V时仅7.7 mA。工作温度范围一40℃~+125℃,采用3 mm×3 mm SOT23 - 8封装。 MAX7033是一个完全集成的低功耗CMOS超外差接收器芯片,接收频率范围在300 MHz~450 MHz的ASK信号。接收器射频输入信号范围从一11
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-28
    • 文件大小:172672
    • 提供者:backoff
  1. PT2323PT2322

    3下载:
  2. 簡介 PT2323 是特別為6CH 家庭劇院音響系統所設計的音響用輸入選擇器,並且內建2CH~6CH 轉換 器,可以直接將傳統立體聲2CH 信號轉換成為模擬6CH 信號,使用I2C 控制介面。單電源9V 供 應電壓,低成本及極佳的性能表現(THD<0.005 Typ),適合任何高性能的6CH 音響系統之應用。 特色 l 供應電源:9V l 提供4 組立體聲及一組6CH 輸入端 l 內建2CH~6CH 轉換器和副低頻低通濾波器 l 最大輸入電壓可達3.75Vr
  3. 所属分类:software engineering

    • 发布日期:2014-07-02
    • 文件大小:61760
    • 提供者:pizi
  1. delphi

    0下载:
  2. delphi数据库开发实例 源码 包含:2009-05-22 08:18 <DIR> DBGrid 组件 2009-05-22 09:49 <DIR> 使用Qreport组件打印 2009-05-13 00:51 <DIR> 创建数据库 2009-05-06 00:09 <DIR> 单选钮和组合列表框 2009-05-13 00:29 <DIR> 压缩Access数据库 2009-05-13 00:17 <
  3. 所属分类:Database system

    • 发布日期:2017-06-11
    • 文件大小:18097508
    • 提供者:施坤
  1. trh031v1

    0下载:
  2. This a source of 13.560MHz RFID card reader for TRH031M as ATMEGA8-16AU MPU. The title is 3Alogics TRH031M 13.56MHz RFID Reader V1.0. project : RFID Reader V2.0 Target : MEGA8-16AU Crystal: 16.000 Mhz Input : TRH031M RFID 13.56MHz Outp
  3. 所属分类:Other Embeded program

    • 发布日期:2014-04-09
    • 文件大小:12203
    • 提供者:acleds
  1. SokobanLisp

    0下载:
  2. Title: A sample sokoban implementation using CLX Created: Tue Feb 27 15:43:28 1996 Author: Gilbert Baumann <unk6@rz.uni-karlsruhe.de> Copyright: (c) copyright 1996 by Gilbert Baumann, distributed under GPL. some hacking by Sam Stei
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:11758
    • 提供者:Metatron
  1. javapda

    0下载:
  2. JAVA手机编程PDA一书的源代码,包括了1到28章节的内容-Source code for the book<java cellphone programing PDA>
  3. 所属分类:JavaScript

    • 发布日期:2017-05-27
    • 文件大小:10109400
    • 提供者:mashan
  1. nntest6

    0下载:
  2. function nntest6(action,flag) NNTEST6 View and Control Neural network. See also SIMUFF. Koos j. den Oudsten, 1-20-99 koos@phil.uu.nl Copyright (c) 1998-99 by KoosSoft vof $Revision: 0.4 $ $Date: 1999/01/27 22:29:28 $
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:2546
    • 提供者:hacen
  1. www.cnzz.cn

    0下载:
  2. 精美的万年历 题目2:万年历的编写 第一步:编写一个能读2种格式,并能计算他们之间的相差天数的程序。程序能读懂2种日期格式。<day>/<month>/<year> 和 <day>-<month>-<year>一年为12个月,依次定义的天数分别为 31,28(不计闰变化),31,30,31,30,31,31,30,31,30,31 例如输入:23/11/1987 5-11-1987 输出结果为: -18
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:284823
    • 提供者:刘声
  1. LT_DSP2812Schematic

    0下载:
  2. 这是本人力天2812开发板的完整原理图,通过它了解整个布局,对自行设计会有很大的帮助~-DSP 2812
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:77285
    • 提供者:hansen
  1. cifafenxiqi

    0下载:
  2. 分析输入的源文件串,输出对应的二元组。 各种单词符号及对应的种别码: 单词符号 种别码 单词符号 种别码 begin 1 : 17 if 2 := 18 then 3 < 20 while 4 <> 21 do 5 <= 22 end 6 > 23 letter(letter|digit)* 10 >= 24 digit digit* 11 = 25 + 13 26 - 14 ( 27 * 15 ) 28
  3. 所属分类:Compiler program

    • 发布日期:2017-03-31
    • 文件大小:1317
    • 提供者:chen xiang
  1. _sncndn@20and_Cardano@56

    0下载:
  2. 调用MATHLIB37.DLL中的函数_sncndn@20计算三个雅可比椭圆函数sn(e,x),cn(e,x),dn(e,x)在实数域上的值,作为参变量为e的一元实函,sn,cn,dn的周期分别为4K(e),4K(e),2K(e),其中uu=x为实数,emmc=1-e^2称为补模的平方,e=c/a=k称为模或椭圆的离心率。函数原型为void sncndn(float uu,float emmc,float *sn,float *cn,float *dn) 用MATHLIB37.DLL中的函
  3. 所属分类:Algorithm

    • 发布日期:2017-03-23
    • 文件大小:72302
    • 提供者:hanxiaohua
  1. sum

    0下载:
  2. 数据结构与算法实验题 8.2 树求和问题 ★ 实验任务 我 们 知 道树有 许 多 种表示 法 , 左儿子 右 兄 弟就是 一 种 表示法 。 现 在给出 一 棵 以左儿 子 右 兄 弟表 示的 树 ,并 且结 点 带有 权值 , 求以 给出 结 点为 根的 子 树的 所有 结 点权 值之 和。对 于给出的一棵树的左儿子右兄弟表示法,按要求输出这棵树的子树的权值和。 ★ 数据输入 第一行为一个整数 n , 1<=n<=1000 ,表示这棵树的结
  3. 所属分类:Data structs

    • 发布日期:2017-03-30
    • 文件大小:1342
    • 提供者:ljxiaoya
  1. 4510

    0下载:
  2. 算法题:2013腾讯编程马拉松初赛第二场(3月22日)小Q系列故事——为什么时光不能倒流 输入首先包含一个整数N,表示有N组测试用例。 接下来的N行表示N个测试用例,每行包括2个时间HH:MM:SS hh:mm:ss HH:MM:SS表示当前的时间,hh:mm:ss表示希望倒退回去的时间。 [Technical Specification] 00<=HH<=11 00<=hh<=99 00<=MM, SS, mm, ss<=59
  3. 所属分类:Console

    • 发布日期:2017-04-02
    • 文件大小:89360
    • 提供者:林飞
  1. a7f0ddc30f36

    0下载:
  2. 对写命令接收电能表数据作合理性判断和保存 procedure WriteAnswer ... if i <> 08ah .and. i<> 0aah .and. i <> 084h .and. i<>0a4h return endif ... EscZxh/EastSoft-This program can tp600/tp650 common.* ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:10719
    • 提供者:yang
  1. wannianli

    0下载:
  2. 万年历,可查询相应年份 #include "system.h" #include <stdio.h> #include "stdio.h" int w(int year) { return (365*(year-1)+((year-1)/4-(year-1)/100+(year-1)/400)) 7 } int date[12][6][7] int day_tbl[ ][12]={{31,28,31,30,31,30,31,31,30,31,
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-27
    • 文件大小:18532
    • 提供者:天河
  1. zTree

    0下载:
  2. BinarySearchTree.lss Time-stamp: <2003- 28 01:56:10 Daniel Eriksson> Date Author Changes - 2003-03-28 Daniel Eriksson Created- BinarySearchTree.lss Time-stamp: <2003-03-28 01:56:10 Daniel Eriksson> Da
  3. 所属分类:OA

    • 发布日期:2017-05-03
    • 文件大小:800113
    • 提供者:hufu
  1. asn1c-0.9.28.tar

    0下载:
  2. 好用的asn解码器 选项: ??-E只运行ASN.1解析器并打印出树 ??-F在-E操作期间,还执行树固定 ??-P连接并打印编译的文本 ??-R限制输出(仅限表,不支持代码)(ASN.1 Compiler, v0.9.28 Copyright (c) 2003-2016 Lev Walkin <vlm@lionet.info>)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-25
    • 文件大小:1622016
    • 提供者:wgy123456
« 12 »
搜珍网 www.dssz.com