CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - MSP430 表

搜索资源列表

  1. MSP430

    1下载:
  2. 该程序用msp430单片机开发了一款单相电子式多费率电能表,用IAR开发的,已通过测试
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:14636
    • 提供者:alen
  1. msp430v.rar

    0下载:
  2. MSP430单片机数字电压表源程序,需要的朋友可以下载看看。,MSP430 single chip digital voltage meter source, needs to see a friend can be downloaded.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:25590
    • 提供者:zhongxiayeok
  1. MSP430 频率表程序

    1下载:
  2. 用MSP430单片机做的频率表程序(完整的一个产品程序),调试过可用。-MSP430 Singlechip do with the frequency table procedures (complete procedures for a product), have been available for debugging.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-28
    • 文件大小:24779
    • 提供者:董宝宏
  1. DigitalVoltageMeter

    0下载:
  2. 这是一个用MSP430单片机设计的基于FYD12864LCD的数字电压表的C程序。系统主要实现对电压的测量。其中,ADC采用MSP430149单片机内部自带的12位AD转换器。输入信号的最大值为+2.5V,你也可以选择其他参考源,使输入信号最大可达+3.3V。-This is a single-chip design using MSP430-based digital voltage meter FYD12864LCD the C program. System is mainly to ac
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:25968
    • 提供者:许方华
  1. MSP430_asm

    0下载:
  2. MSP430汇编指令速查表!word格式!-MSP430 instruction table compilation! word format!
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:10575
    • 提供者:刘辉
  1. 6666

    0下载:
  2. msp430应用资料智能暖气表 msp430应用资料智能暖气表-Application information msp430 intelligent application of information msp430 Heating table table Intelligent Heating
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:195376
    • 提供者:白扬
  1. dianyabiao

    1下载:
  2. msp430单片机的电压表程序。用430的ad转换在用数码管显示电压值-msp430 microcontroller voltmeter program. The ad with the 430 conversion voltage value with a digital LED display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-20
    • 文件大小:1941
    • 提供者:xiaoxing
  1. DDSF413

    0下载:
  2. F413单相电能表程序,使用MSP430为主芯片-F413 single-phase energy meter program, using the MSP430-based chip
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:179480
    • 提供者:rainingfore
  1. MSP430serialCyuyan

    0下载:
  2. MSP430系列单片机实用C语言程序设计实例,对初学者很有帮助,我在设计电表时就借用了内面不少程序源码,值得一看.-MSP430 Microcontroller utility C language program design examples for beginners helpful meter in the design when I borrowed a lot of programs inside source, worth a visit.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:659272
    • 提供者:烟雨楼
  1. msp430-ck

    2下载:
  2. msp430的应用例子,涉及到仪器仪表 无线传输 低功耗应用以及许多技术文档-msp430 application example involving wireless transmission of low-power instrumentation applications, and many of technical documentation
  3. 所属分类:软件工程

    • 发布日期:2013-09-29
    • 文件大小:5916459
    • 提供者:王森
  1. 430test

    0下载:
  2. msp430实验例程 师兄整理的程序: 232串口接受PC键盘输入的数值送显 AD单通道多次转换 传感器数据采集送显 电子时钟 定时器实验——32K晶振和定时器中断实现精确的1秒钟LED闪烁 秒表控制的流水灯 蜂鸣器报警程序 Flash对信息段写入实验 -msp430 example demonstrations
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:40730
    • 提供者:王易
  1. AN0014_CN

    1下载:
  2. 采用德国acam芯片TDC_GP2实现超声波测量,高精度低功耗芯片TDC-GP2 在热表中的应用 ——新的超声波热表解决方案-Achieved by the German acam chip TDC_GP2 ultrasonic measurement, high precision and low-power chip TDC-GP2 table in the application of heat- a new solution for ultrasonic heat meters
  3. 所属分类:Project Design

    • 发布日期:2015-05-24
    • 文件大小:317301
    • 提供者:王大卫
  1. MSP430

    0下载:
  2.  设计了一种基于 MSP430F413 单片机的低功耗热能表.介绍了流量与温度的检测电路及低功耗 电路的设计.-MSP430F413 microcontroller is designed based on low-power thermal table. Introduced the flow and temperature detection circuit and low power circuit design.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-01
    • 文件大小:179688
    • 提供者:wang
  1. EKG

    0下载:
  2. msp430 心率检测 原理图 BOM表 源程序整套-msp430 Heart Rate Detection
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1416287
    • 提供者:zhaoshihai
  1. MSP430

    1下载:
  2. MSP43心率、心电BOM表、线路图、开发代码-MSP43 heart rate, ECG BOM, schematics, development code
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2850796
    • 提供者:eudnj
  1. MSP430-Ultra-low-power-voltmeter

    1下载:
  2. 程序实现了一台超低功耗的高精度直流电压表。利用片内16位ADC,实现-20.00V~60.00V范围的电压测量。精度、量程和分辨率均优于普通三位半数字万用表。并且能够极低的功耗长期运行,一节纽扣电池能连续测量1年左右。本程序中,用复位键作电源开关。这是一种很常用的电源控制方法。同时,该程序示例了如何例用程序库快速的完成开发任务。-Program to achieve an ultra-low power, high-precision DC voltmeter.16-bit ADC chip-2
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:61663
    • 提供者:
  1. pwm_cicle

    1下载:
  2. 用单片机msp430g2553通过查表法实现输出半圆波-Output look-up table method to achieve semi-circular wave using microcontroller msp430g2553
  3. 所属分类:DSP program

    • 发布日期:2014-08-04
    • 文件大小:20480
    • 提供者:蓝雪
  1. Bicycle-speed-meter-on-MSP430

    1下载:
  2. 自行车码表: 吐血推荐,基于MSP430,IAR编译环境, 实现自行车码表的完整功能:RTC,LCD显示,按键KEY,LED指示等功能, 自行车码表完整代码,可轻松DIY出自己的自行车码表,不要忘了点评点评哦 -Bike: Recommended blood, based on the MSP430, IAR compiler environment, to achieve the full functionality of the Bike: RTC, LCD display,
  3. 所属分类:SCM

    • 发布日期:2014-07-31
    • 文件大小:2274304
    • 提供者:xutenggao
  1. 基于msp430单片机的低功耗数字式直流电压表设计

    0下载:
  2. 这是一个基于msp430的超低功耗数字直流电压表(Digital voltmeter based on MSP430)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:941056
    • 提供者:Jan22nd
  1. MSP430温控器程序

    0下载:
  2. MSP430温控器程序,包括热敏电阻对应表 温度从1~40 估算测量温度,误差在1度以内(The MSP430 thermostat program, which includes thermal resistance corresponding to the temperature from 1~ 40, is within 1 degree)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-31
    • 文件大小:2048
    • 提供者:zhaobo
« 12 3 4 »
搜珍网 www.dssz.com