CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NRZ HDB3

搜索资源列表

  1. danpianji1

    0下载:
  2. NRZ-HDB3的码型转换,分为三部分,每一部分都有详细C程序,原理图稍后传上。-NRZ-HDB3 the type of code conversion, divided into three parts, each have detailed procedures C, schematics Chuan later on.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1520
    • 提供者:孔梅
  1. amicmi

    3下载:
  2. 进入MatLab程序运行界面后,运行程序,界面会出现请输入NRZ玛,输入方式按数组方式输入,确认输入的NRZ码之后,程序会自动输出 CMI,AMI,HDB3的编码和解码的结果,可以清晰的对照出编码前后的结果。-into MatLab interface operating procedures, operating procedures, the interface will enter emerging NRZ Mary, by the array input mode input and c
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1909
    • 提供者:戴为
  1. HDB3

    0下载:
  2. 用VerilogHDL实现了从NRZ码到HDB3码的编码过程-NRZ code to HDB3 code using VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1187
    • 提供者:谈钒
  1. HDB3_decoder

    0下载:
  2. 用VerilogHDL实现了HDB3码到NRZ码的解码过程-decode HDB3 code to NRZ code using VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1368
    • 提供者:谈钒
  1. HDB3_coder

    0下载:
  2. 实现了将64K低速NRZ码复接成2.048M高速HDB3码及其解复接过程,同时还用同步状态机剔除假同步和假失步的状态 -Achieved the 64K low-speed NRZ code 2.048M into high-speed multiplexing and demultiplexing HDB3 code then the process also removed using false synchronous state machine synchronization and f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3148921
    • 提供者:陈涛
  1. transfor

    0下载:
  2. VC++的信息波形显示程序,输入波形后,可以设置不同的编辑类型:NRZ法编码、单极归零码、双级非归零、双极归零码、AMI法编码、HDB3编码法等,并根据这些设置选项生成波形图,软件界面友好,像是很专业的软件,如上图示。 -VC++ waveform display program information, input waveform, you can set different types of editors: NRZ encoding method, unipolar NRZ, dua
  3. 所属分类:Graph program

    • 发布日期:2017-04-05
    • 文件大小:33658
    • 提供者:远山
  1. basebandencoer_decoder

    1下载:
  2. 设定一个信息码串,产生常见的编码如单极性非归零、双极性非归零、单极性归零、双极性归零、AMI、HDB3码的时域波形; 不考虑噪声影响,以采样电平为依据恢复出原始信息串。-Set up an information code string to produce a common code such as the unipolar NRZ, bipolar, non-zero, zero unipolar, bipolar zero, AMI, HDB3 code in time domain
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:2169
    • 提供者:温倩
  1. expriment

    0下载:
  2. 设定一个信息码串,产生常见的编码如单极性非归零、双极性非归零、单极性归零、双极性归零、AMI、HDB3码的时域波形;-Set up an information code string to produce a common code such as the unipolar NRZ, bipolar, non-zero, zero unipolar, bipolar zero, AMI, HDB3 code of the time-domain waveform
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:638
    • 提供者:demi
  1. NRZ2HDB3

    0下载:
  2. 实现NRZ与HDB3码互译,VB还有对应图形-VB C++ NRZ TO HDB
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:916387
    • 提供者:cc
  1. hdbn_latest.tar

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:200054
    • 提供者:chaitanya
  1. transphp

    0下载:
  2. VC++的信息波形显示程序,输入波形后,可以设置不同的编辑类型:NRZ法编码、单极归零码、双级非归零、双极归零码、AMI法编码、HDB3编码法等,并根据这些设置选项生成波形图,软件界面友好,像是很专业的软件-VC++ waveform display program information, input waveform, it can set a different editor types: NRZ encoding method, a unipolar NRZ, two-stage non
  3. 所属分类:Wavelet

    • 发布日期:2017-04-03
    • 文件大小:32992
    • 提供者:宇烁
  1. fcn

    0下载:
  2. 信号与系统课程中的RZ码、NRZ码、AMI码、HDB3码、双相码、差分码等-Signal and System s Course used the RZ code, NRZ code, AMI code, HDB3 code, phase codes, differential code, etc.
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:10942
    • 提供者:何林
  1. ys

    0下载:
  2. 两路单极性HDB3+和HDB3-信号,经映射模块后完成单极性到双极性信号的数字转化,该模块由设计文件ys.v完成。由于映射后得到的是双极性归零码,通过该模块得到双极性非归零码。该模块由设计文件delay.v完成-Two unipolar HDB3-signals HDB3+, and by the mapping module to complete unipolar to bipolar signal digital conversion, the module completed by th
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:675
    • 提供者:筱筱
  1. VHDL

    0下载:
  2. 基于 VHDL平台 的NRZ码 转HDB3码 程序-NRZ-> HDB3 coding based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1458
    • 提供者:高达
  1. hdb3

    0下载:
  2. 用MATLAB编码不归零码和HDB3码之间的转换的编码部分-MATLAB code is not used and the HDB3 code NRZ coding part of the conversion between
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:355362
    • 提供者:wuweijiang
  1. QPSK

    0下载:
  2. 实现了PCM编码->基带码型转换(NRZ to HDB3)->QPSK调制->高斯信道->QPSK解调->基带码型反转换(HDB3 to NRZ)->PCM解码。同时给出了误码率曲线。-Achieve a PCM coding-> Baseband code converter (NRZ to HDB3)-> QPSK Modulation-> Gaussian channel-> QPSK demodulator-> anti-
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:3921
    • 提供者:张斌
  1. hdbn_latest.tar

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:198605
    • 提供者:Viktor
  1. Draw_Dig_Wave

    1下载:
  2. 使用C语言画出数字信号,用于通信原理的学习,分别画出NRZ码,AMI码,HDB3码,使用Visual C++ 6.0编译-Draw a digital signal using the C language, for communication principle of learning, respectively, to draw the NRZ code, AMI code, HDB3 code, use the Visual C++ 6.0 compiler
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-23
    • 文件大小:1722321
    • 提供者:zhou
  1. hdbn

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703. Note: HDB2 and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:8840
    • 提供者:fronders
  1. NEW_waveform32

    12下载:
  2. 产生2^5的二进制PRBS信号,编码成单极性NRZ,RZ信号并绘制出波形,把2^5的PRBS信息码编码成HDB3码和差分双向码并绘制出波形(The binary PRBS signal generated by 2^5 is coded into unipolar NRZ, RZ signal, and the waveform is plotted. The PRBS information code of 2^5 is encoded into HDB3 code and different
  3. 所属分类:通讯编程

    • 发布日期:2017-12-31
    • 文件大小:2048
    • 提供者:zhanqisususu
« 12 »
搜珍网 www.dssz.com