CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PRBS

搜索资源列表

  1. PRBS信号

    0下载:
  2. PRBS信号编写程序代码
  3. 所属分类:C#编程

  1. prbs_bpsk.zip

    0下载:
  2. QPSK modulation using a prbs data input,QPSK modulation using a prbs data input
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1024
    • 提供者:ali
  1. PRBS

    1下载:
  2. 伪随机序列PRBS(M序列)的产生文件,matlab 语言开发,适合于系统辨识的激励信号-Pseudo-random sequence PRBS (M series) and generate the file, matlab language development, incentives for system identification signal
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:11405
    • 提供者:kpg
  1. PRBS

    1下载:
  2. 用带反馈通道的移位寄存器产生PRBS序列信号。要选择合适的通道,经模二加法后进行反馈。在程序中,移位寄存器个数可从2到13中任意选择,其长度也可以自由选择。运行结果在vc++的运行环境中能看得很清楚,最后产生的M序列数据也存在相应的数据文件中。-Used with feedback channel shift register sequence generated PRBS signal. Please select the appropriate channels, by mode after
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:7373
    • 提供者:李明哲
  1. Pseudo-Random_Bit_Sequence_Generator_by_FPGA

    0下载:
  2. A spatiotemporal chaotic map is digitized to develop a highly paralleled PRBS generator that accommodates to FPGA (Field Programmable Gate Array) implementation in present paper.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:107576
    • 提供者:gsbnd
  1. p15_gen4

    0下载:
  2. VHDL module for parallel (4bits) PRBS 2E15-1 generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1075
    • 提供者:desepe
  1. prbs15

    1下载:
  2. The polynomial for the Pseudo Random Binary Sequence(PRBS) generator shall be: G(15) = X15+X14+1
  3. 所属分类:Modem program

    • 发布日期:2017-04-05
    • 文件大小:911
    • 提供者:Kim Myung Ick
  1. DS_BPSK_TX

    0下载:
  2. This m file generates a DS BPSK transmitter(spread spectrum) using PRBS s which are modulus 2 added(exclusive ored)with the message and then used to BPSK modulate a carrier.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:1285
    • 提供者:Rafal
  1. PseudoRandomBinarySequence

    1下载:
  2. 其方法為feedback shift registers 的有限狀態機( finite state machine ) 以C語言模擬產生PRBS,其初始狀態1,0,0,0,0 各別模擬taps[2,5]、tap[1,2,4,5]然後驗證PRBS各種特性。-Its method of feedback shift registers for the finite state machine (finite state machine) to C language simulation genera
  3. 所属分类:GIS program

    • 发布日期:2017-04-10
    • 文件大小:773
    • 提供者:stanley
  1. fuzzy1

    0下载:
  2. 模糊系统迭代法仿真结果图形-输入信号为PRBS-Fuzzy Systems iterative simulation result graph- the input signal for the PRBS
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:635
    • 提供者:qqsong
  1. mprbs

    1下载:
  2. 产生伪随机码的C代码,包括PRBS码的产生,仿真,以及测试-C code for PRBS
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-05
    • 文件大小:97459
    • 提供者:yihong
  1. PRBS

    0下载:
  2. pseudo random bit sequence generator
  3. 所属分类:Modem program

    • 发布日期:2017-04-01
    • 文件大小:10585
    • 提供者:sai
  1. PRBS

    1下载:
  2. 产生伪随机码,长度是任意2的指数次,非常可靠的程序-can generate the presedorandom code, any length is OK
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1119
    • 提供者:wyane wang
  1. abc

    0下载:
  2. Abstract—This paper describes a pseudorandom carrier modulation scheme and its harmonic spectra spread effect. The pseudorandom carrier of the proposed scheme are produced through the random synthesis of the two triangular carriers, each of the
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-10
    • 文件大小:244399
    • 提供者:akhilesh
  1. PRBS_SI

    0下载:
  2. 系统辨识程序仿真,研究PRBS的周期数和被辨识参数个数的关系,含PPT课件-System identification process simulation to study the PRBS number of cycles and the relationship between the number of parameters were identified, including PPT Courseware
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:72682
    • 提供者:Jimmy Lau
  1. PRBS

    0下载:
  2. PRBS - Generator and Receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:11057
    • 提供者:qi
  1. PRBS

    0下载:
  2. PRBS code Generator. Program will generate the code 2^7bits
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:633451
    • 提供者:TheJudgeEvil
  1. prbs

    0下载:
  2. 伪随机二进制序列发生器的Verilog源码,带测试文件,并在FPGA开发板上成功验证-Pseudo-random binary sequence generator Verilog source code, with a test file, and successfully verified in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:50491392
    • 提供者:wang
  1. PRBS-identificaton-paper

    0下载:
  2. 以实例的形式介绍了PRBS辨识系统的方法,是一篇IEEE的文献,希望有帮助。-system identification based on PRBS.
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:264178
    • 提供者:华仔
  1. PRBS gen

    0下载:
  2. PRBS data generation. You can choose register length.
  3. 所属分类:matlab例程

    • 发布日期:2017-02-21
    • 文件大小:443
    • 提供者:danvol
« 12 3 4 »
搜珍网 www.dssz.com