CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PSK_VHDL

搜索资源列表

  1. PSK_vhdl

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行PSK调制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:75389
    • 提供者:wavy
  1. PSK_VHDL

    0下载:
  2. CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:73127
    • 提供者:huangsong
  1. PSK_VHDL

    0下载:
  2. DPSK调制程序,包括源代码,注释,非常好用-DPSK modulation procedures, including source code, comments, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:72949
    • 提供者:李梦
搜珍网 www.dssz.com