CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - QAM16 VERILOG

搜索资源列表

  1. QAM

    1下载:
  2. 16qam调制器的FPGA实现。使用Verilog实现全数字16-QAM调制器。-16qam Modulator FPGA. Use Verilog for full digital 16-QAM modulator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-13
    • 文件大小:2075
    • 提供者:张维
  1. QAM161

    0下载:
  2. 一个QAM16调制方式的verilog设计,包括4个verilog源代码,能够构成一个完整的QAM调制器-A QAM16 modulationVerilog design , including four verilog source code, which can form a complete QAM modulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5161
    • 提供者:孙斌
  1. qam16

    0下载:
  2. QAM16是数字调制方式中最为常见的 方式之一,这里的Verilog 文件可以在 FPGA上实现的。-QAM16 digital modulation scheme is one of the most common ways here Verilog files can be implemented on the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1317
    • 提供者:陆从乐
  1. QAM-16-OFDM_Module

    0下载:
  2. QAM16-verilog code for OFDM module. includes mapping design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:896
    • 提供者:guruprasad sp
  1. qam16 modulator

    0下载:
  2. QAM16 MODULATOR VERILOG CODE ON FPGA
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:GIRISH
搜珍网 www.dssz.com