CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SATA FPGA

搜索资源列表

  1. 基于FPGA的SATA控制器

    3下载:
  2. 基于FPGA的SATA控制器
  3. 所属分类:其它文档

  1. SATA_Verification_IP-SystemVerilog

    0下载:
  2. SATA Verification IP - SystemVerilog,是使用FPGA做的sata接口部分,是一篇文档-SATA Verification IP- SystemVerilog, is to use FPGA to do sata interface part, is a document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:403882
    • 提供者:
  1. sata_device_model

    4下载:
  2. sata_device_model,对做硬盘控制器的朋友有帮助-sata_device_model, to make the hard disk controller has a friend help
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:17412563
    • 提供者:
  1. xapp716_release

    0下载:
  2. 基于FPGA的SATA控制器,可以完成SATA1.0协议-FPGA-based SATA controller, you can complete SATA1.0 agreement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:824535
    • 提供者:李建
  1. FPGA-SATA

    4下载:
  2. 使用FPGA实现SATA协议接口,思路清晰。适用性强,是不可多得的资料。文档为硕士论文。-FPGA implementation agreement with SATA interface, clear thinking. Applicability, is the rare information. Document for the master' s thesis.
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-25
    • 文件大小:1512503
    • 提供者:ERICQ
  1. aips7108.tar

    3下载:
  2. SATA 仿真模型 SATA 仿真模型-Simulation Model SATA SATA SATA simulation model simulation model
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-25
    • 文件大小:17651021
    • 提供者:罗宇平
  1. DESalgorithmforfpgadesignsata

    0下载:
  2. 利用fpga接sata的方案,在fpga进行des加密,难得的参考价值-Sata using fpga access program, conducted in fpga des encryption, unique reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3741190
    • 提供者:tianzhen
  1. SATA-Connectivity-solutions-for-Xilinx-FPGAs.pdf.

    0下载:
  2. This gives an overview over the Serial ATA (SATA) protocol and the implications when integrating SATA into an FPGA-based programmable system. Besides details of the different protocol layers, we will discuss the hardware and software components for b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:390019
    • 提供者:mancity
  1. Encryption-SATA-IP-Based-on-FPGA

    0下载:
  2. 本文首先分析了目前常用的硬盘数据加密方法,并在比较各种加密方案的基础上给出了基于FPGA的加解密SATA IP设计方案。本文介绍设计SATA IP相关的基础知识,包括SATA的体系结构。本sata IP已在Xilinx spartan-6系列上实现并产品化,具有低成本优势,且可以根据用户意愿更换加密算法和使用私有的加密算法。本文还论述了加密SATA IP的各种应用前景。-This paper firstly analyzes several common ways of Hard Disk da
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-08
    • 文件大小:1940746
    • 提供者:liuYue
  1. Zynq-Mini-ITX-Rev-E

    1下载:
  2. Zynq Mini-ITX 单芯片可编程SOC(ARM+FPGA)开发板电路原理图 -Zynq Mini-ITX Development Board Schematics the Zynq Mini-ITX development board features 2 GB DDR3 SDRAM, PCIe Gen2 x16 Root Complex slot (x4 electrical), SATA-III interface, SFP interface, QSPI Flash me
  3. 所属分类:Project Design

    • 发布日期:2016-09-19
    • 文件大小:2623488
    • 提供者:王广龙
  1. groundhog_v_0_2

    0下载:
  2. Groundhog implements a SATA host bus adapter.-Groundhog implements a SATA host bus adapter. This Verilog-based project creates an easy-to-use interface between a user circuit on a Xilinx FPGA and a SATA hard drive or SSD.
  3. 所属分类:Com Port

    • 发布日期:2017-05-18
    • 文件大小:4618361
    • 提供者:spencer
  1. SATA_IP_FPGA

    0下载:
  2. SATA协议简要分析及其FPGA实现说明- SATA1.0 IP based on Fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4254166
    • 提供者:wang
  1. sata_controller_core_latest.tar

    0下载:
  2. VHDL for SATA 2.0, used for FPGA
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:399360
    • 提供者:abc4329803
搜珍网 www.dssz.com