CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SOPC IDE

搜索资源列表

  1. Development_Guide_of_socFPG

    1下载:
  2. socFPGA开发简明教程 教程以非常详细的实例来让初学者了解基于QuartusII和NiosII IDE的FPGA/SOPC开发基本流程。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-17
    • 文件大小:1628710
    • 提供者:tian
  1. DE2_USB_API.rar

    0下载:
  2. 在ALTERA的DE2开发板上做的关于USB_API的例子,包括USB接口的初始化,驱动等。基于Quartus II,SOPC Builder, Nios II IDE 做的,有需要的可以参考一下,或者借用一下!写程序就是要多看别人的啊。,ALTERA development in the DE2 board to do on the USB_API examples, including the initialization of the USB interface, drivers and
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1575876
    • 提供者:liguoyin
  1. PWM

    1下载:
  2. 自己编写的PWM模块,通过SOPC和NIOSII IDE软件控制,控制DE2开发板上的小灯忽明忽暗-I have written the PWM module, through the SOPC and NIOSII IDE software control, control DE2 development board忽明忽暗small lamp
  3. 所属分类:SCM

    • 发布日期:2017-05-24
    • 文件大小:8068480
    • 提供者:hebei
  1. UCOS-II

    0下载:
  2. 基于FPGA的实验 UC\OS-II操作系统移植,使用SOPC软件及其配置方法,掌握其在NIOS II IDE 中的简单使用。-FPGA-based experimental UC \ OS-II operating system migration, the use of SOPC software and its configuration to grasp the NIOS II IDE in the simple use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:514
    • 提供者:贺欧
  1. SOPC_NIOS_TEST

    0下载:
  2. ALTER+NIOS II+SOPC_Builder+NIOS II IDE例程(VHDL)-ALTER+ NIOS II+ SOPC_Builder+ NIOS II IDE routines (VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10610644
    • 提供者:cmlin
  1. DE2_NIOS_HOST_MOUSE_VGA

    0下载:
  2. 在ALTERA的DE2开发板上做的关于HOST_MOUSE的例子,基于Quartus II 和SOPC Builder以及Nios II IDE平台所完成!-ALTERA development in the DE2 board to do on HOST_MOUSE example, based on the Quartus II and SOPC Builder and Nios II IDE platform completed!
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1874672
    • 提供者:liguoyin
  1. DE2_SD_Card_Audio

    0下载:
  2. 在ALTERA的DE2板子上做的一个读写SD卡的例子,基于QUARTUS II ,SOPC BUILDER ,Nios II IDE实现的,从SD卡读写东西-The DE2 board in ALTERA do an SD card reader example, based on the QUARTUS II, SOPC BUILDER, Nios II IDE achieved something from the SD card reader
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1816080
    • 提供者:liguoyin
  1. LED

    0下载:
  2. 在ALTERA的DE 2 开发板上做的一个类似闪烁的彩灯,用了16个LEDR,可以直接下载到板子上运行,基于经典的开发平台Quartus II+SOPC Builder+Nios II IDE 做的,只要看了以后,你就会自己设计各种花样的彩灯闪烁的样子了.所用语言有多种,VHDL,C/C++等-DE 2 in the development of the ALTERA board to do a similar flickering lantern, with a 16 LEDR, can be
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-16
    • 文件大小:4208226
    • 提供者:liguoyin
  1. NiosII-LED-Demo

    0下载:
  2. 这是 Quartues II 的 FPGA SOPC NIOS_II IDE的设历程,对于初学者肯定有很大的帮助-This is a Quartues II of the FPGA SOPC NIOS_II IDE to set the course for beginners will definitely be very helpful
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:317122
    • 提供者:hanbin
  1. Nios_II_SPI

    0下载:
  2. 本源码为Nios II的开发示例,主要演示Nios II的SPI总线设计。开发环境QuartusII。 本示例十分经典,对基于SOPC开发的FPGA初学者有很大帮助。-The source code for the Nios II development of an example, the main demonstration Nios II design of the SPI bus. Development environment QuartusII. This example is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16035850
    • 提供者:huangshengqun
  1. Nios_II_uCOS

    0下载:
  2. 本源码为Nios II的开发示例,主要演示基于Nios II的uCOS的移植。开发环境QuartusII。 本示例十分经典,对基于SOPC开发的FPGA初学者有很大帮助。-The source code for the Nios II development of examples, mainly based on the Nios II shows the uCOS transplant. Development environment QuartusII. This example is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13813238
    • 提供者:huangshengqun
  1. sopcIIC

    0下载:
  2. 该例子是基于sopc的IIC总线设计完整设计,分为硬件和软件部分,软件部分是用c语言编写的。该项目是个以完成的项目,据有较高的参考和经济价值。该例子是原来做过的项目。 整个项目是在Quartus II 7.0和nios IDE环境下开发。-This example is based on the IIC bus design sopc complete design, divided into hardware and software, the software part is writt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13531834
    • 提供者:bobo
  1. Verilog000

    1下载:
  2. FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。-Verilog语言的学习,熟悉Verilog语言的各种语法。 ②、FPGA的学习,熟悉
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22794382
    • 提供者:onejacky
  1. digitalelectricalclockdesignbasedonNIOSII

    0下载:
  2. :以NiosⅡ Cyclone EPIC20F400C7开发板为硬件平 台,利用 Ouartus II、SOPC Builder和 NiosⅡIDE软件设计来实 现一个基于 SOPC的多功能数字电子钟-: The Nios Ⅱ Cyclone EPIC20F400C7 development board as the hardware platform, using Ouartus II, SOPC Builder and Nios Ⅱ IDE to implement a softwar
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:222448
    • 提供者:裴蕾
  1. 200811525

    0下载:
  2. 学习Quartus II、SOPC Builder、Nios II IDE的基本操作。 初步了解SOPC的开发流程,基本掌握Nios II软核的定制方法。 掌握Nios II软件的开发流程,掌握软件的基本调试方法。-Study of Quartus II, SOPC Builder, Nios II IDE of the basic operation.A preliminary understanding of the SOPC development process, to mast
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1279694
    • 提供者:color
  1. june2010_1

    0下载:
  2. 一种新型SOPC自动指纹识别系统设计,:本文设计了一种基于SOPC的新型结构的自动指纹识别系统。通过对指纹处理整体流程的选择和优化,把耗时较多的指纹预处理部分整体硬件化,耗时较少的匹配部分软件化,使得系统处理速度有了显著提高,1.5s内可以完成一幅指纹图像的预处理,3s内可以完成一幅指纹图像的比对。本设计使用Quartus II软件完成了系统模块设计及仿真,使用NiosⅡ IDE软件完成了软件代码的实现,并在以Altera 公司的Cyclone II FPGA芯片为核心的DE2开发板上实现了整个
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:610362
    • 提供者:kudding
  1. sdram1

    0下载:
  2. 定制sopc系统。使用sdram控制器。在nios ide上执行存储器的读写操作。-To customize sopc system. Use sdram controller. In the the nios ide perform memory read and write operations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:11252291
    • 提供者:zzh
  1. tftLCD_MCU_v12F

    0下载:
  2. cycloneII 设计的简易数码相框。包括sopc 和noisii IDE 的代码。-The simple cycloneII digital photo frame. Including the SOPC and NoisII IDE code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:15830074
    • 提供者:sanyi.chen
  1. SOPC开发快速入门教程中文版

    0下载:
  2. 本文为基于QuartusII和NiosII IDE的FPGA/SOPC开发资料,目的是为了尽快掌握FPGA/SOPC的开发流程,投入实践当中。(This paper develops data for FPGA/SOPC based on QuartusII and NiosII IDE. The purpose is to master the development process of FPGA/SOPC as soon as possible and put into practice.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:2045952
    • 提供者:Querer
  1. SOPC LED实验

    0下载:
  2. 通过Quartus II、SOPC Builder、Nios II IDE三种工 具的配合使用 用软件控制led,VHDL程序通过描述硬件电路控制led的依次亮灭(Through the Quartus II, SOPC Builder, Nios II IDE three workers With the use Use software to control led, VHDL program by describing the hardware circuit control l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:4795392
    • 提供者:UGG
« 12 3 »
搜珍网 www.dssz.com