CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SPWM verilog

搜索资源列表

  1. SPWM.rar

    0下载:
  2. 用cpld开发的关于生成spwm波的vhdl程序代码,Cpld developed by spwm waves on the generation of vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:353200
    • 提供者:高原
  1. pwm

    1下载:
  2. pwm的占空比和死区时间可调的Verilog HDL程序设计和测试-duty cycle of pwm and adjustable dead time of the Verilog HDL design and testing procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-05-03
    • 文件大小:847
    • 提供者:chenhaoran
  1. SPWM

    1下载:
  2. VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:7312
    • 提供者:zyb
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. weifenxianxing

    0下载:
  2. 微分先行pid,c语言程序,平时做实验用的...大家不用编了,希望对大家有用-Difference to pid, c programming language, usually used to experiment ... we do not have compiled, we want to be useful
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5624022
    • 提供者:jun7
  1. SPWM

    1下载:
  2. FPGA上用verilog写的SPWM控制程序,完美运行!自由调试,毕设内容,十分宝贵-The SPWM control program by verilog FPGA perfect run! Free commissioning, Bi-based content, invaluable
  3. 所属分类:SCM

    • 发布日期:2017-06-01
    • 文件大小:1555456
    • 提供者:罗克韦尔
  1. SPWMdaima

    2下载:
  2. spwm算法的verilog实现 对照论文表示成功-spwm algorithm verilog achieve control papers for success
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-12
    • 文件大小:14643
    • 提供者:刘备
  1. SPWM

    1下载:
  2. ALTERA FPGA上采用Verilog语言实现查表法产生三电平SPWM-Produce three-level SPWM by look-up table
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-02
    • 文件大小:4420888
    • 提供者:Jim
  1. 三角函数的Verilog HDL语言实现

    0下载:
  2. 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, rea
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:148480
    • 提供者:所罗门
  1. SPWM

    1下载:
  2. 利用verilog语言实现正弦脉宽调制,经过调试可行(Using Verilog language to realize sinusoidal pulse width modulation)
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:1024
    • 提供者:落魄小书童
搜珍网 www.dssz.com