CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SRAM

搜索资源列表

  1. M16C62_container_SRAM_RTC

    0下载:
  2. Renesas m16c62 nano evalution board 50x50mm. M16C62P+128KB sram+RTC+ProgPins. Protel99 Sch+PCB. Design By COOL:)
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:35912
    • 提供者:cooluser
  1. ram_top

    0下载:
  2. arm ahb slave bus sram ip in verilog
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:2217
    • 提供者:msd
  1. sram

    0下载:
  2. 实现单端口SRAM,地址4比特即一共16个寄存单元,数据4比特说明每个单元有四个寄存器,一共64个D-Single-port SRAM, 4-bit address that is a total of 16 storage units, data 4-bit instructions each unit has four registers, a total of 64 DFF
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:569
    • 提供者:宫廷
  1. sram

    0下载:
  2. sram 测试程序, CYPRESS CY68013的固件程序, 主要功能测试芯片的内部和外部RAM-sram CYPRESS CY68013
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:148623
    • 提供者:冒险岛
  1. SDRAM

    0下载:
  2. sram是很好的片外存储器!本文件详细介绍了sram的分类和相关程序的写法!-sram chip memory is very good! This document details the classification and related procedures sram is written!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-12
    • 文件大小:2914499
    • 提供者:cao
  1. SRAM

    0下载:
  2. 红牛光盘\红牛开发板使用测试程序\红牛部分测试程序-Project File Date
  3. 所属分类:Java Develop

    • 发布日期:2017-04-04
    • 文件大小:188458
    • 提供者:李鹏
  1. stm32uCOSuCGUI(FSMC)3.2SRAM

    0下载:
  2. 红牛STM32 UCOS UCgui FSMC 使用SRAM当显存 触摸屏直接采用stm32的ADC分时采样-STM32 UCOS UCgui FSMC SRAM touch
  3. 所属分类:uCOS

    • 发布日期:2017-05-17
    • 文件大小:4958990
    • 提供者:mian
  1. IS61WV51216BLL

    1下载:
  2. 备注:使用的是VeriLog HDL语言 软件环境xilinx ISE 10.1,硬件:高教仪EXCD-1FPGA电路板。FPGA信号:spartan-3e . 功能编写硬件描述性语言实现FPGA对板上外设SRAM IS61WV51216BLL的读写,通过串口发送到上位机上,使用串口助手显示读取的数据。-Note: Use the VeriLog HDL language software environment xilinx ISE 10.1, hardware: Higher M
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:4690
    • 提供者:李钿
  1. das3580sch

    0下载:
  2. das3580开发板原理图,■ Altera CycloneII EP2C8Q208C8N 的FPGA器件; ■ EPCS4 – 4Mbit 串行配置器件; ■ JTAG和AS双模式下载口; ■ 512Kbyte 10ns级SRAM器件构成双数据通道; ■ Cy7c68013a_128axc高性能USB2.0控制芯片;-das3580 development board schematics, ■ Altera CycloneII EP2C8Q208C8N the FPG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:62031
    • 提供者:徐庆富
  1. SRAM_16Bit_512K

    0下载:
  2. SRAM 16B 512K容量的控制器-SRAM 16B 512K capacity control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:11270
    • 提供者:徐伟伟
  1. PLD

    0下载:
  2. PLD实验代码,包括格雷码计数器、键盘扫描和LED点阵显示、SRAM读写、LCD12864显示汉字。-PLD experimental code, including the Gray code counter, keyboard scanning and LED dot matrix display, SRAM read and write, LCD12864 display Chinese characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:132209
    • 提供者:马昭鑫
  1. zbt_test

    0下载:
  2. zbt sram测试VHDL程序,实现了FPGA与ZBT SRAM之间的接口控制,在FPGA内能实现对ZBT SRAM读写-vhdl program for ZBT SRAM test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:16504
    • 提供者:lailai
  1. EM250_ReferenceDesign

    0下载:
  2. Ember公司的EM250是单片机解决方案,它集成了2.4GHz、兼容IEEE 802.15.4的收发器以及一个16位XAP2b微处理器,同时还包括集成闪存和SRAM存储器与基于ZigBee应用的外设。本内容包括参考设计,包括原理图、PCB。-Ember' s EM250 is a single chip solution that integrates a 2.4GHz, IEEE 802.15.4-compliant transceiver and a 16-bit XAP2b mic
  3. 所属分类:SCM

    • 发布日期:2017-05-25
    • 文件大小:8679941
    • 提供者:ruozhuo
  1. LIP2311CORE_MultiPortSRAM

    0下载:
  2. Multiport SRAM verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:140103
    • 提供者:jc
  1. SourceCode2_dma_xintf_to_ram_OK

    1下载:
  2. dsp设计 SRAM测试 ccs开发环境 -dsp design development environment SRAM test ccs
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:560374
    • 提供者:孙静
  1. SRAM--SDRAM--FLASH

    0下载:
  2. SRAM和SDRAM的区别,存储器之间的区别-The difference between SRAM and SDRAM, pay attention to the difference between them
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3866
    • 提供者:Zhang
  1. SRAM_rw_test

    0下载:
  2. C8051F040 SRAM 读写测试程序-C8051F040 SRAM read and write test procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:102670
    • 提供者:赵晗
  1. USART_SRAM

    0下载:
  2. 在SRAM中调试程序,不伤STM32的flash。在keil3.40编译通过-In the SRAM in the debugger, not to hurt the STM32' s flash. Compiled by the keil3.40
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4485549
    • 提供者:深蓝
  1. sram

    0下载:
  2. 数据读写进SRAM后送屏显示 很好的参考程序-Read and write data into the SRAM display good reference evacuation procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1540
    • 提供者:liuwen
  1. sram

    0下载:
  2. SRAM的VHDL设计,设计了一个具有4位地址线,8位数据线的SRAM,读写功能独立-SRAM VHDL design, the design of a 4-bit address lines, 8 data lines of SRAM, read and write functions independent
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:518
    • 提供者:cxl
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com