CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - T2_USB_IN

搜索资源列表

  1. T2_USB_IN

    0下载:
  2. 我买的红色飓风FPGA,EP1C6开发板的配套USBA实验例程 use输入模块的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:345155
    • 提供者:孙建军
  1. T2_USB_IN.rar

    0下载:
  2. usb芯片cy7c68013从fpga中读入数据的演示程序,verilog语言,CY7C68013 chip usb read from the FPGA into the data presentation process, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:246550
    • 提供者:ones
  1. T2_USB_IN

    0下载:
  2. CY7C68013A提供的端口FIFO的读写操作,与普通FIFO读写操作方式一样。CY7C68013A为每个端口提供了“空”标志、“满”标志和“ 可编程级”标志。FPGA检测这些信号,用于控制读写的过程-CY7C68013A available port FIFO read and write operations, and general FIFO read and write operations the same way. CY7C68013A for each port provide
  3. 所属分类:source in ebook

    • 发布日期:2017-05-03
    • 文件大小:1382662
    • 提供者:jiang
  1. T2_USB_IN

    0下载:
  2. 这是一段关于USB接口输入的VHDL源程序-S9_LED_RUN.rar
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:345018
    • 提供者:王景波
搜珍网 www.dssz.com