CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - TLC5510

搜索资源列表

  1. TLC5510.VHDL

    0下载:
  2. TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3351
    • 提供者:少龙
  1. TLC5510-VHDL.rar

    0下载:
  2. tcl5510的控制程序,采用硬件描述语言VHDL编写,对与刚入门的学者有很大的帮助,tcl5510 control procedures, the use of VHDL hardware descr iption language to prepare for entry-level academics and just very helpful
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3052
    • 提供者:chenqin
  1. TLC5510.rar

    0下载:
  2. 德州仪器的告诉ADTLC5510的控制电路设计,用VHDL语言编写,Texas Instruments ADTLC5510 tell the control circuit design, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3192
    • 提供者:wang
  1. 高速AD转换芯片TLC5510中文资料

    0下载:
  2. 高速AD转换芯片,TLC5510中文资料,里面有详细的电路设计和芯片功能介绍,,AD conversion high-speed chips, TLC5510 Chinese data, there are detailed circuit design and chip function, the
  3. 所属分类:技术管理

    • 发布日期:2016-01-25
    • 文件大小:678214
    • 提供者:
  1. TLC5510.rar

    0下载:
  2. TLC5510与单片机的接口程序 并用CH451显示,The TLC5510 and MCU interface program and show CH451
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:538
    • 提供者:时海林
  1. CCD

    0下载:
  2. 飞思卡尔下车,LM1881,TLC5510,CCD摄像头的驱动-Freescale off, LM1881, TLC5510, CCD camera drive
  3. 所属分类:SCM

    • 发布日期:2015-08-08
    • 文件大小:232448
    • 提供者:jun
  1. TLC5510_IIPRAM1

    0下载:
  2. FPGA控制双口RAM、实现TLC5510采样控制双口RAM读写!QUARTUS II8.0平台仿真验证通过,并在硬件上运行通过测试!-FPGA control of dual-port RAM, the realization of sampled-data control TLC5510 dual-port RAM read and write! QUARTUS II8.0 platform through simulation and hardware to run through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3439107
    • 提供者:wangzhaohui
  1. TLC5510

    0下载:
  2. C51编写的可高速交流采样AD,但是输入口必须先抬高偏置电压.实践证明,这个程序已可非常灵活的应用-STC89C52 + Tl5510 IN C51 Open !it is very useful !
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:18962
    • 提供者:沈扬智
  1. TLC5510

    0下载:
  2. 在超声波流量计中,能进行高速的ad采样,以达到ad转换的目的-In ultrasonic flowmeter, the ad can be sampled at high speed to achieve the purpose of ad conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4888
    • 提供者:刘浏
  1. TLC5510_VHDL

    0下载:
  2. 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:930
    • 提供者:huangsong
  1. tlc5510

    0下载:
  2. TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1238687
    • 提供者:yangzhibin
  1. TLC5510

    0下载:
  2. 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1143017
    • 提供者:sky
  1. TLC5510

    0下载:
  2. 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3048
    • 提供者:Aria
  1. TLC5510

    0下载:
  2. tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:35466
    • 提供者:wangyl
  1. tlc5510

    0下载:
  2. 常用高速数模转换芯片TLC5510的控制程序-AD-chip TLC5510 control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:138115
    • 提供者:yeyang
  1. TLC5510

    0下载:
  2. 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:620
    • 提供者:Aaron Chen
  1. TLC5510

    0下载:
  2. VHDL实现对TLC5510的控制,带有signaltap仿真图-VHDL implementation of the TLC5510 control, with signaltap simulation diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3076769
    • 提供者:成天
  1. TLC5510

    0下载:
  2. 采用超高速AD存储示波器程序设计。器件是采用TLC5510。用FPGA来控制实现。-The ultra high speed AD storage oscilloscope programming. Device is used TLC5510. Using FPGA to control the implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1182
    • 提供者:刘大仔
  1. TLC5510

    0下载:
  2. 代码是高速AD tlc5510的驱动代码-Code is high-speed AD tlc5510 driver code
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:294811
    • 提供者:zxw
  1. TLC5510

    0下载:
  2. TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用CMOS工艺制造,可提供最小20Msps的采样率。可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等方面。文中介绍了TLC5510的性能指标、引脚功能、内部结构和操作时序,给出了TLC5510的应用线路设计和参考电压的配置方法。-TLC5510 is Texas Instruments (TI) produced eight half-flash ADC structure, which uses
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1027518
    • 提供者:韦家正
« 12 3 4 »
搜珍网 www.dssz.com