CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL

搜索资源列表

  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. VHDL

    0下载:
  2. VHDL 语言要素,可以帮助初学者,加深理解-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:28366
    • 提供者:eason
  1. vhdl

    0下载:
  2. ldpc编码的vhdl的实现,一种802.13的方式-ldpc coding vhdl implementation, a 802.13 a way
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5697
    • 提供者:lq
  1. vhdl-TAXI

    0下载:
  2. 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:269650
    • 提供者:stella
  1. VHDL(LOCK)

    0下载:
  2. 数字密码锁的设计与实现 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习数字密码锁的设计 二.实验内容 设计一个数字密码锁,对其编译,仿真,下载。 数字密码锁具体要求如下: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:18105
    • 提供者:爱好
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. vhdl

    0下载:
  2. 用vhdl语言实现了rsa算法功能,位宽可调-RSA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:7063
    • 提供者:huyanzi
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:540493
    • 提供者:毛毛
  1. 1024FFT(VHDL)

    0下载:
  2. 1024点的FFT源程序-1024 FFT VHDL
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-07
    • 文件大小:203711
    • 提供者:倦怠怪兽
  1. vhdl-pdelay

    0下载:
  2. programmable delay register (16-bit) in VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:82927
    • 提供者:bfuclin
  1. VHDL-FIRfilter

    1下载:
  2. 利用vhdl实现fir低通滤波器的设计,并且使用了MATLAB,很好很强大。-VHDL MATLAB fir lowpass filter
  3. 所属分类:文档资料

    • 发布日期:2012-12-17
    • 文件大小:29950
    • 提供者:邵娜
  1. DWT-VHDL

    0下载:
  2. 小波变换的VHDL代码,内带正变换逆变换的测试文件。-Wavelet transform VHDL code, with a positive transformation within the inverse transform of the test file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-16
    • 文件大小:17985
    • 提供者:Janee
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. IIR(vhdl)

    1下载:
  2. 基于fpga的数字滤波器设计的vhdl源代码-Fpga digital filter design based on the vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-07
    • 文件大小:7440
    • 提供者:sunnyhp
  1. fft(VHDL)

    0下载:
  2. 该源码是fft的VHDL实现,通过FPGA下载验证通过-The source is the fft of the VHDL implementation, through verification by FPGA download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3090227
    • 提供者:demoranger
  1. DE2LCD_(VHDL)

    0下载:
  2. DE2控制LCD显示(VHDL编写对LCD的控制)-DE2 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5160
    • 提供者:no4
  1. vhdl

    0下载:
  2. 这是我研究生课程做的vhdl大作业,希望对大家有用-good work
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:160940
    • 提供者:wangxuede
  1. FIR-filter-vhdl

    0下载:
  2. 工程:用VHDL语言实现的FIR滤波器设计。-FIR filter using vhdl using QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

  1. vhdl-pipeline-mips_latest.tar

    0下载:
  2. pipeline mips in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1137246
    • 提供者:aliakbar
  1. VHDL

    0下载:
  2. 序列检测器设计VHDL源程序 任意输入串行数据串-VHDL source code sequence detector design arbitrary string of serial data input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:732
    • 提供者:terry
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com