CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 彩灯

搜索资源列表

  1. cdkz

    0下载:
  2. vhdl编写的彩灯控制程序,比较简单,仅供初学者参考-vhdl prepared by the Lantern control procedures are relatively simple, reference is for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:785
    • 提供者:george
  1. 8-light

    1下载:
  2. 一个简单的8路彩灯,简单实用,是初学者学习vhdl,的很好的参考。-a simple 8 Lantern Road, simple and practical, is learning vhdl beginners, a good reference.
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:795
    • 提供者:张亮
  1. caideng

    0下载:
  2. 用VHDL语言描述十六路彩灯的设计其开发均在FPGA中-using VHDL descr iption of 16 Lantern Road, the design of its development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:142879
    • 提供者:侯同
  1. EDAdeisgn(2)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷2实例包括:多路彩灯控制器的设计与分析、智力抢器的设计与分析、微波炉控制器、数据采集控制系统、电梯控制器的设计与分析
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4951895
    • 提供者:shengm1
  1. caideng

    0下载:
  2. 8位彩灯移动扫描程序,功能全,经过编译和仿真-8 Lantern mobile scanner, full-featured, after the compiler and simulation
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:197358
    • 提供者:何情
  1. cd

    1下载:
  2. 一个彩灯循环控制的VHDL程序,功能还可添加.-Cycle control of a lantern VHDL procedures, functions can also be added.
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:357607
    • 提供者:何情
  1. 8caideng

    0下载:
  2. 试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。四个花样自动变换,重复以上过程。输入时钟频率为500Hz,灯亮的时间在1—4秒之间,可以自由控制。电路中以“1”代表灯亮,以“0”代表灯灭。-Lantern try to design a contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1400
    • 提供者:liruigang
  1. WORK32

    0下载:
  2. vhdl32路彩灯设计 maxplus平台 比较齐全-vhdl process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:338245
    • 提供者:solarain
  1. LED

    0下载:
  2. 在ALTERA的DE 2 开发板上做的一个类似闪烁的彩灯,用了16个LEDR,可以直接下载到板子上运行,基于经典的开发平台Quartus II+SOPC Builder+Nios II IDE 做的,只要看了以后,你就会自己设计各种花样的彩灯闪烁的样子了.所用语言有多种,VHDL,C/C++等-DE 2 in the development of the ALTERA board to do a similar flickering lantern, with a 16 LEDR, can be
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-16
    • 文件大小:4208226
    • 提供者:liguoyin
  1. cd1

    0下载:
  2. 实现彩灯的自然数列、奇数列、偶数列、音乐数列的循环显示-To achieve a natural Lantern series, odd-numbered columns, even column, music, series, shows the cycle of
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:209432
    • 提供者:zmz
  1. lamplap

    0下载:
  2. fpga的多路彩灯控制器的设计与分析,涵VHDL代码 -fpga' s multi-Lantern controller design and analysis, Han VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:408163
    • 提供者:李飞
  1. caidengkongzhi

    0下载:
  2. 数字彩灯循环控制,根据输入的不同,来控制lED灯闪烁的时间。电路实现LED灯灭与亮的不同顺序。-Digital Lantern loop control, according to the different input to control light flashing lED time. Circuit bright LED lamp with a different order.
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:1018779
    • 提供者:
  1. hainan

    0下载:
  2. MAX+PLUS2环境下VHDL彩灯控制器编程 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调-MAX+ PLUS2 programming environment, VHDL lantern controller 1. With 10 LED, L0 ... ... L9 2. Display odd lights turn off before ① ② ③ again
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1100
    • 提供者:吴海霞
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. VHDL

    0下载:
  2. 2人抢答器 简易循环彩灯(红灯3s,绿灯2s,黄灯1s) 交通灯-2 Responder simple cycle lights (red 3s, green 2s, yellow 1s) traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:149688
    • 提供者:yu
  1. vhdl

    0下载:
  2. 十六路彩灯控制系统,毕业设计相同题目的兄弟姐妹们可以参考一下-Sixteen path lights control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:692
    • 提供者:夏天宇
  1. VHDL-bulucaideng

    1下载:
  2. 用VHDL语言设计八路彩灯控制器,实现花样变换-VHDL language design eight lantern controller pattern transformation
  3. 所属分类:software engineering

    • 发布日期:2016-05-23
    • 文件大小:121856
    • 提供者:董得
  1. VHDL-control-Lantern

    0下载:
  2. 用VHDL实现彩灯的控制,使彩灯以不同的方式显示出来,且可以正确运行。-Lantern control using VHDL lanterns displayed in different ways, and can be run properly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:52233
    • 提供者:闫石磊
  1. VHDL

    0下载:
  2. 利用VHDL语言实现led的多样彩灯例子,可用于led的扩展-The use of VHDL language diversity led lantern example, can be used for expansion led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:179889
    • 提供者:唐海峰
  1. VHDL

    0下载:
  2. 彩灯控制器设计 彩灯控制器设计 彩灯控制器设计 -Lantern controller design lantern controller design lantern controller design lantern controller design lantern controller design lantern controller design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:81918
    • 提供者:杨永福
« 12 3 »
搜珍网 www.dssz.com