CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电梯 程序

搜索资源列表

  1. EDA

    1下载:
  2. eda技术的说明,程序VHDL(电梯\\键扫\\交通灯\\步进电机)CPLD/FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1589902
    • 提供者:徐钧
  1. lift

    0下载:
  2. 用fpga控制电梯,实现五层电梯的升降控制,运用vhdl编辑程序.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:276212
    • 提供者:郭翠双
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:124986
    • 提供者:123456
  1. elevator1

    0下载:
  2. 组成原理实验作业用VHDL实现的六层电梯程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:87489
    • 提供者:刘海
  1. diantiVHDL

    1下载:
  2. 本例是一个6层电梯的控制系统,VHDL原程序,状态机,控制器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162735
    • 提供者:liujingyang
  1. Elevator_controller

    0下载:
  2. 电梯控制器VHDL程序与仿真,程序注释详细,可读性强。-Elevator controller and simulation of VHDL program, the program notes in detail, strong readability.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161886
    • 提供者:
  1. lift

    0下载:
  2. 功能完善的四层电梯程序。开门停8秒,上升或者下降一层用时5秒,有五秒倒计时数码管,楼层显示数码管,以及电梯内外楼层请求显示灯。-A well-functioning procedures for four-storey elevator. 8 seconds to open the door stopped, increased or decreased when a layer of 5 seconds, the 5 seconds countdown digital tube, digita
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1771
    • 提供者:韩代榕
  1. dt

    0下载:
  2. 电梯自动控制程序,是自己用的一个小程序 -Elevator automatic procedure is itself a small program used
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:380275
    • 提供者:lyy
  1. lift3

    0下载:
  2. 本程序是三层电梯程序,包括控制部分、led数码管显示部分,并可由此程序模仿写出任意层次的电梯程序-This procedure is a three-lift procedures, including control of some, led digital tube display, and the resulting program-level imitation write arbitrary lift procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1853
    • 提供者:zhaohongliang
  1. Lift_Controller

    0下载:
  2. 这个文件包含了我前一段写的关于3~8电梯控制的4-5个程序!并且附有比较详细的注释.准确说这是一份课程设计报告.在最终版本的程序中对于FLEX10K系列器件只占用141个逻辑单元,频率可达60多Mhz,选择CycloneII器件可达260多Mhz.因为包含了好几个程序,希望站长不要只安一个程序处理,能及时开通!-This document contains a section of my previous writing on the 3 to 8 elevator control proced
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:897955
    • 提供者:jesse
  1. dianti

    0下载:
  2. 电梯控制器程序设计 六层楼 含有详细解释以及波形-Elevator controller program design containing six-storey buildings, as well as a detailed explanation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162374
    • 提供者:龙丽丽
  1. f6lift

    2下载:
  2. 不同于网上的四层电梯,这是六层电梯的模拟程序,也是现在学校要求的,vhdl语言开发,在板子上运行良好-vhdl 6 lift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:581897
    • 提供者:胡诣嵩
  1. Elevatorcontroller

    0下载:
  2. 电梯控制器程序设计与仿真,需要的朋友可以下栽.-Elevator controller design and simulation procedures needed下栽friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:162476
    • 提供者:
  1. lift

    1下载:
  2. 我自己写的六层电梯程序,用的语言是VHDL,还有仿真的图,非常有用,-I wrote it myself six lift procedures, the language used is VHDL, simulation of the Fig also, very useful,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:162270
    • 提供者:易勇辉
  1. elevator

    0下载:
  2. 这是一个小课程设计,关于电梯控制的vhdl仿真程序,内容十分完整-This is a small curriculum design, on the elevator control of vhdl simulation program, very complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:285481
    • 提供者:fengyun
  1. A_VHDL_process_elevator_controller

    0下载:
  2. 一个VHDL电梯控制器的程序:A VHDL process elevator controller-An elevator controller VHDL procedures: A VHDL process elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:119541
    • 提供者:jk
  1. VHDL-diante-KONGZHI-CHENGXU

    0下载:
  2. 一个VHDL电梯控制器的程序1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9698
    • 提供者:liuchao
  1. shejishengjiangji

    0下载:
  2. 对电梯的基本功能进行了实现,并把电梯的一些特殊功能进行了改进,这是本人的毕业设计程序。-The basic functions of the elevator to achieve, and to lift some of the special features have been improved, this is my graduation project process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2918
    • 提供者:zhengjibin
  1. VHDL

    0下载:
  2. 六层电梯控制VHDL编程程序,有解释说明-Six-story elevator control VHDL programming procedures, an explanation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5949
    • 提供者:吴永
  1. VHDL-ELEVATOR-CONTORLLER-DESIGN

    0下载:
  2. VHDL电梯控制器程序设计与仿真,内含原理图和VHDL源码,有助于学习VHFL-VHDL u7535 u68AF u63A7 u5236 u5668 u7A0B u5E8F u8BBE u8BA1 u4E0E u4EFF u771F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:164864
    • 提供者:刘冲
« 12 3 »
搜珍网 www.dssz.com