CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 直流电机的控制

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. 000000adada2

    0下载:
  2. 数据结构,二叉树和哈夫曼编码。C++ 1、 学会针对DFA转换图实现相应的高级语言源程序 ·a C++ Class Library of Cr ·简单的防火墙,可以用来学习,作为毕业课设也相当有帮 ·实现ARM 芯片的一对PWM 输出用于控制直流电机 ·Programming the Microsoft ·VC调用java的简单例子。需要注意jvm.dll ·这是介绍在VC++6。0下如何编写GPIB程序。有 ·GPS坐标转换软件:直角坐标与大
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:3519
    • 提供者:ssss3162
  1. dc_motor.vhd

    0下载:
  2. 用VHDL编写简单的直流电机控制方法.供大家参考.-use VHDL to prepare a simple DC motor control methods. For your reference.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:2406
    • 提供者:lfy
  1. reverse

    0下载:
  2. vhdl实现对直流电机的控制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1779
    • 提供者:呵呵
  1. zldj.rar

    0下载:
  2. 一种直流电机伺服系统的设计,其中包括了各种控制模块的VHDL语言,A kind of DC motor servo system design, including the various control modules of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2003228
    • 提供者:刘建平
  1. moter

    1下载:
  2. VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897211
    • 提供者:dansen
  1. VDHL

    0下载:
  2. Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:113548
    • 提供者:何柳
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. VHDLexample

    0下载:
  2. 步进电机控制,直流电机控制,加法器,状态机等等经典的VHDL例子程序。-Stepper motor control, DC motor control, adders, state machines, etc. The classic example VHDL procedures.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-15
    • 文件大小:4899
    • 提供者:张庆东
  1. EP1C3_12_1_2_MOTO

    0下载:
  2. 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1193917
    • 提供者:deadtomb
  1. DCmotor

    0下载:
  2. 给出了具体的基于FPGA的直流电机PWM控制VHDL程序,可用于毕业设计。-Given a specific FPGA-based DC motor PWM control VHDL program can be used to graduation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3188795
    • 提供者:张三
  1. FPGAmotor

    1下载:
  2. FPGA在直流电机调速中的应用,利用fpga进行PID闭环控制-tell us speed control for DC motor by FPGA,use fpga for PID circle control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:334850
    • 提供者:赵一
  1. allworkz

    0下载:
  2. 有LCD+按键+反馈控制。用VHDL写的一个,直流电机控制程序。其中,里面的很多小程序可以用来做例程。使用的软件是quartus7.2。-With LCD+ buttons+ feedback control. Written by a VHDL, DC motor control program. Among them, there are many small programs can be used to do routines. Use of the software is quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:119972
    • 提供者:张龙
  1. VHDL_PWM

    0下载:
  2. 基于VHDL的直流电机的PWM控制程序 PWM型DC/DC变换器控制方法-VHDL-based DC motor PWM control procedures PWM type DC/DC converter control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:203808
    • 提供者:李亚军
  1. DC-motor-controller-and-its-control

    0下载:
  2. 基于VHDL语言的直流电机控制器及其控制,本控制系统的总体结构,下位机是整个高频疲劳试验机控制器的核心。用于实现产生控制试验机的控制信号和数据,反馈信号的处理,以及和上位机进行数据通信。其控制功能强弱也直接影响着整个控制器性能的好坏-DC Motor Based on VHDL controller and its control, the overall structure of the control system, the next bit machine is the high-freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2566
    • 提供者:moyeo
  1. Radar-on-FPGA

    0下载:
  2. 主要论述了基于FPGA的末制导雷达伺服系统设计。结合末制导雷达讨论其电机控制、二阶伺服系统性能和PID校正算法,利用VHDL语言设计,实现基于FPGA的方位步进电机开环定位控制和俯仰直流电机闭环速度控制的伺服系统。结合实际应用中遇到的问题,提出了基于"反馈控制"理论的有效的补偿算法,该算法提高了伺服系统的稳定性、快速性和精度。-Mainly discusses the design of terminal guidance radar servo system based on Field Pr
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1138166
    • 提供者:mabeibei
  1. motor

    0下载:
  2. 用VHDL语言控制直流电机的工作(4路输入)-VHDL language to control the DC motor (4 inputs)
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:1313252
    • 提供者:张喆
  1. BLDCM-based-on-NIOS

    0下载:
  2. 基于NIOSII的无刷直流电机控制器设计 庄任勤 大连海事大学 硕士论文 电力电子与电力传动 2009年6月 本文介绍了无刷直流电机的工作原理,研究了无刷直流电机的PWM调制方式,实现了基于Nios软核的无刷直流电机控制系统的SOPC设计。系统硬件包括以FPGA为核心的控制电路和用于电机驱动的三相全桥逆变电路,对FPGA及其外围设备的选择和逆变电路的设计做了大量研究工作。软件设计包括在Quartusn中用vHDL语言生成的位置检测模块、电机控制模块和PID调节器的I
  3. 所属分类:Project Design

    • 发布日期:2017-11-16
    • 文件大小:3739268
    • 提供者:午后薄荷
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. 直流电机控制

    0下载:
  2. 实现直流电机的控制,增速和减速,用到了定时器(Realize DC motor control, speed up deceleration)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:艺术韩
搜珍网 www.dssz.com