CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL CRC

搜索资源列表

  1. crc.zip CRC校验程序

    2下载:
  2. CRC校验程序,使用了CRC-16和CRC-CCITT方法 ,CRC inspection program, which use crc-16 and crc-ccitt method
  3. 所属分类:加密解密

    • 发布日期:2016-01-25
    • 文件大小:1311
    • 提供者:
  1. crc_check

    0下载:
  2. CRC校验,包括crc8_4、crc12_4、crc16_8、crc32_8-CRC checksum, including crc8_4, crc12_4, crc16_8, crc32_8
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:4346
    • 提供者:wl
  1. CRC

    1下载:
  2. 这个是我花了一个星期的CRC算法,有并行与串行的区别与时序的分析。。。。希望站长能够同意-This is a week I spent the CRC algorithm, there is the difference between parallel and serial and timing analysis. . . . Hope that regulators can not agree
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-02
    • 文件大小:752170
    • 提供者:heshuiming
  1. CRC32_DATA16

    0下载:
  2. IEEE 802.b CRC32 VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1424
    • 提供者:balance yeh
  1. crc

    0下载:
  2. 自己写的循环冗余校验,进行了仿真,整个工程都在!-Wrote it myself, cyclic redundancy check carried out a simulation, the whole project are in!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:435729
    • 提供者:
  1. crcm

    0下载:
  2. crc 校验,vhdl源码,经仿真能正常运行,供大家参考-CRC checksum, vhdl source, the simulation can be normal operation, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1023
    • 提供者:fangliang
  1. CRC16bits

    0下载:
  2. 16bit crc encoder ande demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:167769
    • 提供者:chen
  1. CRC

    0下载:
  2. 通过对于模2除法的研究 可以得到如下方法: 1. 把信息码后面加上p-1位的0,这个试验中p是6位,即在输入的信息码后面加上“00000”。把这个17位的被除数放入input中。 2. 在得到被除数input之后,设计一个在被除数上移动的数据滑块变量d,把input中的最高位开始逐次复制给变量d。 3. 如果d的最高位为1,由变量d和变量p做异或运算;如果d的最高位为0则不运算或者做多余的异或‘0’的运算。 4. 把滑块变量d往后滑动一位。 5. 循环步骤(3,4)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:6033
    • 提供者:lijq
  1. crc16

    0下载:
  2. 16bit CRC for 8bits data
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-11
    • 文件大小:870
    • 提供者:苗淼
  1. pcie_vera_tb_latest.tar

    0下载:
  2. FEATURES • 16 bit PIPE Spec PCI Express Testbench • Link training • Initial Flow Control • Packet Classes for easy to build PHY,DLLP and TLP packets • DLLP 16 bit CRC and TLP LCRC generation • Sequence Number
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:170066
    • 提供者:Arun
  1. crc

    0下载:
  2. CRC校验码的实现,校验码6位,寄存器串行实现方式,经项目实际验证正确-CRC Check Code realization Check 6, register serial ways, the right to verify the actual project
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-06
    • 文件大小:513
    • 提供者:fang
  1. crcsend

    0下载:
  2. 用vhdl代码实现循环冗余检验,CRC即Cycic Redundancy Check-Vhdl code used to achieve the cycle redundancy check, CRC that Cycic Redundancy Check
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:266124
    • 提供者:songbinghui
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. CRC

    0下载:
  2. CRC和线性码程序 可能对初级学习有用 希望能够好好利用-CRC
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:31208
    • 提供者:黄金刚
  1. crc

    0下载:
  2. CRC-16 VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-06
    • 文件大小:164567
    • 提供者:kobin
  1. crc

    0下载:
  2. crc32的 vhdl实现源代码,对crc原理有说明-crc32 to achieve the vhdl source code, has made it clear that the principle of the crc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2012
    • 提供者:张峰
  1. CRC

    0下载:
  2. 关于通信系统中循环差错检测的vhdl仿真程序,内容十分完整-Communication systems on the circle of error detection of vhdl simulation program, very complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:225215
    • 提供者:fengyun
  1. crc

    0下载:
  2. crc project by vhdl -crc project by vhdl ..............
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1340
    • 提供者:mohammed
  1. CRC-Generator-for-Verilog-or-VHDL

    0下载:
  2. CRC Generator for Verilog or VHDL-CRC Generator for Verilog or VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2694
    • 提供者:wz
  1. crc

    0下载:
  2. 基于VHDL的CRC编码器的CRC的生成模块源码。-The CRC based on VHDL CRC encoder source code generation module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10565
    • 提供者:段志伟
« 12 3 4 5 6 »
搜珍网 www.dssz.com