CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog实现扰码

搜索资源列表

  1. verilogzzhwfy

    0下载:
  2. 用Verilog实现QPSK中的差分,扰码,串并,解差分,解扰码,解串并,用MUXPLUS2进行仿真-QPSK with Verilog realize the difference, code, and serial, Xie difference, encryption codes, and solutions Series, The simulation used MUXPLUS2
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5593
    • 提供者:周正华
  1. verilog_scramble.v.tar

    0下载:
  2. 扰码程序,利用Verilog语言实现,适合各种通信系统的扰码。-scramble code,verilog hdl,adapt to many communication systems
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:740
    • 提供者:daiyingchun
  1. DATA_scramble

    0下载:
  2. 扰码器的verilog实现,参考802.11a相关标准-Scrambler in verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:801
    • 提供者:
  1. 扰码

    0下载:
  2. OFDM技术中经常用到扰码技术,本设计采用线性反馈移位寄存器实现简单扰码(relization of interference)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:58368
    • 提供者:莫忧
  1. 扰码器Verilog

    0下载:
  2. 实现扰码的功能,主要为64位在pcs子层传输的扰码器设计(To achieve the functions of scrambling code)
  3. 所属分类:VHDL/FPGA/Verilog

搜珍网 www.dssz.com