CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog流水灯

搜索资源列表

  1. 基于FPGA的verilog语言的10种流水灯的设计报告

    2下载:
  2. 基于FPGA的verilog语言的10种流水灯的设计报告,有相应的方框图和具体的程序解释-FPGA-based verilog language of the 10 water light design report, there is a corresponding block diagram and detailed explanation of the procedures
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:89088
    • 提供者:柳沐璇
  1. 用verilog语言编写的按键控制流水灯实验程序

    1下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left, right. This archive also cont
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:190189
    • 提供者:广子
  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. horse_light4

    0下载:
  2. 六种花样的流水灯,从左至右,从右至左,中间向两边,两边向中间,跳格闪烁等。verilog语言编写; 并且扩展容易; 有两个状态机构成实现。quartus 9.0和7.1仿真通过。无错误,无警告。-Six kinds of patterns of flowing water lights, from left to right, from right to left, in the middle to both sides, both sides toward the middle, ju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:70009
    • 提供者:tangjieling
  1. 123

    0下载:
  2. 基于quartus的,状态机实现流水灯,verilog HDL语言编写-Quartus-based, the state machine to achieve water lights, verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235546
    • 提供者:sky
  1. led

    0下载:
  2. Verilog 流水灯程序 这个可以作为初级入门练习使用,产生感性认识!-Verilog flow light program that can be used as the primary entry to practice, resulting in perceptual knowledge!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:312274
    • 提供者:xiaoming
  1. led_water

    0下载:
  2. Altera FPGA流水灯工程文件Verilog语言代码,作为入门级的参考程序-Altera FPGA Verilog flow light project files language code, as the entry-level reference program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:234462
    • 提供者:kiling
  1. FPGA-verilog

    0下载:
  2. 用Verilog语言编写的一些简单的FPGA入门实验,用ALTERA DE2开发板和Quartus_II软件开发环境。包括:流水灯实验、数码管显示实验-With Verilog language preparation some simple introduction experiment, with FPGA ALTERA DE2 development board and Quartus_II software development environment. Include water l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:10264
    • 提供者:星光依旧
  1. _3_rider_led

    0下载:
  2. verilog流水灯源码,适合初学者,cyclone-water light source verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:42294
    • 提供者:光芒电子
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18572217
    • 提供者:谷向前
  1. led

    0下载:
  2. verilog 流水灯程序Spartan3E-verilog Light water procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:674
    • 提供者:xxit
  1. wled

    0下载:
  2. verilog流水灯设计开发,已经经过验证的。-verilog water lamp design and development, has been proven.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:396020
    • 提供者:zr
  1. Verilog

    0下载:
  2. VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等-VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:508236
    • 提供者:赵航
  1. verilog-led

    0下载:
  2. 此程序是Verilog语言编写的一个流水灯程序,简单易行-This program is written in Verilog language a light water program, simple and feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3649
    • 提供者:何鹏才
  1. 4LED

    0下载:
  2. 4LED流水灯程序,可更换频率,采用状态机,低电平有效亮灯,高电平熄灭(4LED water lamp program, you can change the frequency. Using state machine, low level active light, high level extinction)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1024
    • 提供者:柳弦
  1. 04_led_test

    0下载:
  2. verilog 入门 流水灯verilog 入门 verilog 入门 verilog 入门(verilog led test xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2488320
    • 提供者:翻山越岭
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. project_1

    0下载:
  2. 在FPGA上实现一个流水灯,包括端口设定等(On FPGA to achieve a water led, including port settings)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:562176
    • 提供者:杨云霄
  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:16449536
    • 提供者:意中人8383
  1. 1

    0下载:
  2. 利用板子上的LED灯,用Verilog编程实现的流水灯模块(Using the LED lights on the board, we use Verilog programming to realize the water lamp module.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:486400
    • 提供者:somnus浅眠
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com