CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog

搜索资源列表

  1. verilog乘法器设计

    2下载:
  2. verilog乘法器设计
  3. 所属分类:VHDL编程

    • 发布日期:2011-07-21
    • 文件大小:2349
    • 提供者:liang2088321
  1. 矩阵键盘 verilog

    0下载:
  2. 用verilog写的 矩阵键盘
  3. 所属分类:编程文档

    • 发布日期:2011-08-04
    • 文件大小:241152
    • 提供者:1111122222
  1. VERILOG DDS 正弦输出

    2下载:
  2. Verilog 编写
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-12
    • 文件大小:1791
    • 提供者:ymthink
  1. DCT实现Verilog HDL的数字图像处理源代码

    3下载:
  2. DCT实现Verilog HDL的数字图像处理
  3. 所属分类:源码下载

    • 发布日期:2011-09-14
    • 文件大小:31657
    • 提供者:juyong
  1. verilog与ISE

    1下载:
  2. verilog与ISE系列的,非常好
  3. 所属分类:技术管理

    • 发布日期:2012-03-02
    • 文件大小:7246820
    • 提供者:win_hshiw
  1. GPS去载波verilog实现

    1下载:
  2. 该源码用verilog实现gps信号的去载波过程
  3. 所属分类:VHDL编程

  1. CMMB中ldpc编码器的verilog代码

    2下载:
  2. CMMB中ldpc编码器的verilog代码 CMMB中的ldpc编码器采用H矩阵的做法进行 编码,通过对H矩阵的分解进行迭代进行 编码,比较复杂。此代码为发射端的源代码, 已经应用。
  3. 所属分类:VHDL编程

    • 发布日期:2012-05-12
    • 文件大小:1700863
    • 提供者:swordmerry
  1. verilog hdl 135例

    0下载:
  2. verilog hdl135例
  3. 所属分类:源码下载

  1. verilog HDL任务与函数代码

    1下载:
  2. 1、 了解verilog HDL任务与函数的定义及格式 2、 掌握函数与任务的定义与调用 3、 掌握函数与任务在使用时的区别 4、 掌握任务与函数的具体应用
  3. 所属分类:源码下载

  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. 用verilog硬件描述语言编写的fft算法

    6下载:
  2. 用verilog硬件描述语言编写的fft算法,很是经典,和大家共享,希望能对大家有所帮助。,Verilog hardware descr iption language with the preparation of the fft algorithm, it is a classic, and we share the hope that it can be helpful to everyone.
  3. 所属分类:通讯编程

    • 发布日期:2013-11-13
    • 文件大小:12588
    • 提供者:李少峰
  1. verilog 128位 突发4. sdr fpga控制器

    0下载:
  2. verilog 128位 突发4. sdr fpga控制器,verilog 128 bit unexpected 4. sdr fpga controller
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:119976
    • 提供者:pudnrtest
  1. SD_verilog.用了硬件描述语言Verilog在完成对SD卡控制器的编写

    2下载:
  2. 该代码,只用了硬件描述语言Verilog在完成对SD卡控制器的编写,经济实用,The code, only the hardware descr iption language Verilog in the completion of the SD card controller to prepare, economical and practical
  3. 所属分类:VHDL编程

    • 发布日期:2016-08-25
    • 文件大小:24708
    • 提供者:宋宜良
  1. 用verilog写的对ad0809的控制

    1下载:
  2. 用verilog写的对ad0809的控制,完整工程,希望对大家能有帮助,Written using Verilog for ad0809 control, complete works, in the hope that we can help
  3. 所属分类:VHDL编程

    • 发布日期:2016-08-25
    • 文件大小:4339486
    • 提供者:侯训平
  1. ds18b20.ds18b20的Verilog程序

    0下载:
  2. ds18b20的Verilog程序,经测试验证可以使用。注意此版本为DALLS DS18B20而不是DS1820,注意加5K上拉电阻。,ds18b20 the Verilog process can be used to verify by testing. Note that this version rather than DALLS DS18B20 for DS1820, the attention of Canadian 5K pull-up resistor.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2931
    • 提供者:sdf
  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. 任意维矩阵求逆的verilog实现方式

    2下载:
  2. verilog 任意维矩阵求逆的verilog实现方式,Verilog arbitrary-dimensional matrix inversion methods to achieve the Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2016-03-10
    • 文件大小:202889
    • 提供者:付彦青
  1. S8_VGA.VGA显示接口的verilog控制程序

    1下载:
  2. VGA显示接口的verilog控制程序。用于VGA显示器的控制驱动,VGA display interface Verilog control procedures. Control for VGA display driver
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1127454
    • 提供者:zl.yin
  1. ref-ddr-sdram-verilog.zip

    1下载:
  2. sdram的verilog的源码实现,sdram verilog source code realizes
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-08-25
    • 文件大小:903996
    • 提供者:zfhustb
  1. 用EPM1270实现的1602液晶驱动Verilog

    0下载:
  2. 用EPM1270实现的1602液晶驱动Verilog,EPM1270 achieved by 1602 LCD driver Verilog
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:295919
    • 提供者:cx
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com